Index of /mirror/alpinelinux.org/edge/testing/aarch64

[ICO]NameLast modifiedSize

[PARENTDIR]Parent Directory  -
[   ]lua-psl-0.3-r0.apk2020-02-05 11:50 1.1K
[   ]lua-lcurses-9.0.0-r0.apk2018-01-05 14:55 1.1K
[   ]lua-xml-1.1.3-r1.apk2020-03-02 13:59 1.2K
[   ]msgpuck-2.0-r1.apk2020-02-22 18:27 1.2K
[   ]lua-linenoise-0.9-r1.apk2021-01-18 13:18 1.2K
[   ]lua-libmodbus-0.6.1-r0.apk2020-07-12 12:14 1.2K
[   ]font-fantasque-sans-1.8.0-r0.apk2019-11-22 16:17 1.2K
[   ]lua-editorconfig-0.3.0-r0.apk2021-04-12 16:26 1.2K
[   ]font-fira-4.202-r0.apk2021-12-04 04:01 1.2K
[   ]luacov-html-1.0.0-r1.apk2022-06-02 17:21 1.2K
[   ]apk-readme-0.1-r1.apk2018-10-23 17:03 1.2K
[   ]font-raleway-4.101-r1.apk2021-11-22 15:08 1.2K
[   ]lumina-desktop-1.6.2-r0.apk2022-07-05 21:10 1.2K
[   ]elastic-beats-8.13.4-r0.apk2024-05-19 23:05 1.4K
[   ]elfio-3.12-r0.apk2023-08-30 10:12 1.4K
[   ]dvdbackup-lang-0.4.2-r1.apk2022-10-14 17:08 1.4K
[   ]simpleble-0.6.1-r1.apk2023-07-30 00:01 1.4K
[   ]boxed-cpp-1.4.0-r0.apk2024-03-02 16:02 1.4K
[   ]drawpile-2.2.1-r1.apk2024-05-29 19:41 1.4K
[   ]phonon-backend-vlc-0.12.0-r0.apk2023-11-06 18:37 1.4K
[   ]arcticons-icon-theme-9.6.5.0-r0.apk2024-06-05 19:58 1.4K
[   ]distrobuilder-lxc-2.1-r17.apk2024-05-19 01:28 1.4K
[   ]libnest2d-0.4-r6.apk2024-04-22 19:58 1.4K
[   ]mesa-asahi-va-gallium-24.0.0_pre20240527-r0.apk2024-05-29 00:31 1.4K
[   ]moderncli-0.8.1-r0.apk2024-02-13 22:03 1.4K
[   ]arc-theme-20221218-r0.apk2023-01-07 14:34 1.4K
[   ]lua-lanes-3.16.0-r1.apk2024-04-04 13:35 1.4K
[   ]f_scripts-0.6-r0.apk2024-05-29 18:24 1.4K
[   ]luacov-0.15.0-r0.apk2023-06-17 00:20 1.4K
[   ]nextpnr-0.6-r2.apk2024-04-22 19:58 1.4K
[   ]distrobuilder-lxd-2.1-r17.apk2024-05-19 01:28 1.5K
[   ]flutter-3.19.4-r0.apk2024-03-22 00:13 1.5K
[   ]prosody-modules-0.11_hg20201208-r0.apk2020-12-10 20:09 1.5K
[   ]mesa-asahi-vdpau-gallium-24.0.0_pre20240527-r0.apk2024-05-29 00:31 1.5K
[   ]logc-libs-0.1.0-r0.apk2023-11-18 18:32 1.5K
[   ]freshrss-mysql-1.23.1-r1.apk2024-03-18 07:42 1.5K
[   ]freshrss-pgsql-1.23.1-r1.apk2024-03-18 07:42 1.5K
[   ]restinio-0.6.17-r6.apk2024-04-22 19:58 1.5K
[   ]freshrss-sqlite-1.23.1-r1.apk2024-03-18 07:42 1.5K
[   ]librewolf-intl-126.0.1_p1-r0.apk2024-06-02 01:17 1.5K
[   ]lua-luastatic-0.0.12-r1.apk2022-10-28 17:21 1.5K
[   ]linuxptp-4.2-r0.apk2023-12-20 19:54 1.5K
[   ]libqofono-0.122-r0.apk2023-12-24 16:57 1.5K
[   ]ovos-0.0.1-r1.apk2024-05-31 08:16 1.5K
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2023-03-19 23:40 1.5K
[   ]qoi-0.0.0_git20230312-r0.apk2023-03-17 08:30 1.5K
[   ]termcolor-2.1.0-r0.apk2022-10-28 22:14 1.5K
[   ]openjdk22-22.0.1_p8-r2.apk2024-04-26 02:12 1.5K
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2023-03-19 23:40 1.5K
[   ]lomiri-thumbnailer-doc-3.0.3-r1.apk2024-04-22 19:58 1.5K
[   ]ruby-build-runtime-20240423-r0.apk2024-05-01 00:03 1.5K
[   ]aufs-util-dev-20161219-r2.apk2023-12-07 16:23 1.5K
[   ]font-monaspace-1.000-r0.apk2023-11-12 13:20 1.5K
[   ]nb-full-7.12.1-r0.apk2024-02-24 00:35 1.5K
[   ]qt5ct-dev-1.7-r0.apk2023-04-18 13:42 1.5K
[   ]libopensles-standalone-dev-0_git20240221-r0.apk2024-04-29 09:26 1.5K
[   ]openocd-git-cmd-openocd-0_git20240113-r0.apk2024-01-17 09:37 1.5K
[   ]musikcube-plugin-all-3.0.2-r1.apk2023-12-13 21:24 1.5K
[   ]remake-make-1.5-r1.apk2022-10-28 17:21 1.5K
[   ]libtcmu-dev-1.6.0-r5.apk2023-09-01 09:39 1.5K
[   ]soqt-doc-1.6.0-r0.apk2021-11-21 01:16 1.5K
[   ]slidge-matridge-openrc-0_git20240208-r1.apk2024-04-15 23:03 1.5K
[   ]android-file-transfer-dev-4.3-r0.apk2023-12-29 00:11 1.5K
[   ]foolsm-openrc-1.0.21-r0.apk2022-05-21 14:41 1.6K
[   ]skia-sharp-dev-0_git20230912-r0.apk2023-11-20 21:49 1.6K
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2018-10-23 17:03 1.6K
[   ]nullmailer-openrc-2.2-r4.apk2021-12-13 16:06 1.6K
[   ]tremc-zsh-completion-0.9.3-r0.apk2022-03-18 00:19 1.6K
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2020-12-10 20:09 1.6K
[   ]pigpio-openrc-79-r4.apk2024-05-08 15:01 1.6K
[   ]pimd-openrc-3.0_git20220201-r0.apk2022-03-06 11:30 1.6K
[   ]iipsrv-apache-1.2-r0.apk2023-10-05 07:24 1.6K
[   ]baikal_sqlite-0.9.5-r0.apk2024-03-20 22:45 1.6K
[   ]lizardfs-master-openrc-3.13.0-r13.apk2024-04-22 19:58 1.6K
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-04-15 23:03 1.6K
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-01-04 20:38 1.6K
[   ]lizardfs-metalogger-openrc-3.13.0-r13.apk2024-04-22 19:58 1.6K
[   ]py3-litex-hub-modules-2023.12-r4.apk2024-04-15 23:03 1.6K
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-01-04 20:38 1.6K
[   ]iipsrv-lighttpd-1.2-r0.apk2023-10-05 07:24 1.6K
[   ]lizardfs-chunkserver-openrc-3.13.0-r13.apk2024-04-22 19:58 1.6K
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r21.apk2024-05-19 01:28 1.6K
[   ]wch-isp-udev-rules-0.4.1-r1.apk2024-01-19 19:40 1.6K
[   ]barman-bash-completion-3.10.0-r1.apk2024-04-15 23:03 1.7K
[   ]dstask-zsh-completion-0.26-r7.apk2024-05-19 01:28 1.7K
[   ]lxd-feature-doc-5.20-r3.apk2024-05-19 01:28 1.7K
[   ]ruuvi-prometheus-openrc-0.1.7-r3.apk2024-05-19 01:28 1.7K
[   ]moosefs-master-openrc-3.0.117-r1.apk2023-06-17 23:06 1.7K
[   ]bestline-dev-0.0_git20211108-r0.apk2022-02-13 16:13 1.7K
[   ]speakersafetyd-openrc-0.1.9-r0.apk2023-12-31 12:46 1.7K
[   ]m17n-db-dev-1.8.5-r0.apk2023-11-23 22:18 1.7K
[   ]tremc-bash-completion-0.9.3-r0.apk2022-03-18 00:19 1.7K
[   ]moosefs-metalogger-openrc-3.0.117-r1.apk2023-06-17 23:06 1.7K
[   ]fileshelter-openrc-5.1.2-r4.apk2024-04-22 19:58 1.7K
[   ]interception-tools-openrc-0.6.8-r2.apk2024-04-22 19:58 1.7K
[   ]moosefs-chunkserver-openrc-3.0.117-r1.apk2023-06-17 23:06 1.7K
[   ]materia-20210322-r1.apk2022-10-28 22:30 1.7K
[   ]3proxy-openrc-0.9.4-r0.apk2023-09-18 07:37 1.7K
[   ]scaleway-cli-fish-completion-2.29.0-r1.apk2024-05-19 01:29 1.7K
[   ]hiawatha-openrc-11.5-r0.apk2024-04-15 09:15 1.7K
[   ]wroomd-openrc-0.1.0-r0.apk2023-10-06 07:49 1.7K
[   ]materia-compact-20210322-r1.apk2022-10-28 22:30 1.7K
[   ]zrepl-openrc-0.6.1-r4.apk2024-05-19 01:29 1.7K
[   ]materia-dark-20210322-r1.apk2022-10-28 22:30 1.7K
[   ]bionic_translation-dev-0_git20240525-r0.apk2024-05-28 12:54 1.7K
[   ]fusee-nano-udev-0.5.3-r0.apk2023-12-31 19:28 1.7K
[   ]nymphcast-mediaserver-nftables-0.1-r2.apk2023-01-08 07:08 1.7K
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2020-12-10 20:09 1.7K
[   ]neard-openrc-0.19-r0.apk2023-09-19 21:49 1.7K
[   ]wf-shell-dev-0.8.1-r0.apk2024-03-15 07:19 1.7K
[   ]thelounge-openrc-4.4.1-r0.apk2023-08-07 07:53 1.7K
[   ]rinetd-openrc-0.73-r0.apk2023-12-09 23:48 1.7K
[   ]speedtest-go-openrc-1.1.5-r8.apk2024-05-19 01:29 1.7K
[   ]grommunio-common-openrc-1.0-r2.apk2024-05-31 01:04 1.7K
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2023-07-30 00:01 1.7K
[   ]fluent-bit-openrc-2.1.10-r0.apk2023-10-05 22:20 1.7K
[   ]pully-openrc-1.0.0-r0.apk2022-02-26 18:34 1.7K
[   ]autorandr-udev-1.15-r0.apk2024-03-16 13:36 1.7K
[   ]pantalaimon-ui-0.10.5-r4.apk2024-04-15 16:59 1.7K
[   ]materia-dark-compact-20210322-r1.apk2022-10-28 22:30 1.7K
[   ]repowerd-openrc-2023.07-r1.apk2024-05-07 22:17 1.7K
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-04-15 23:03 1.7K
[   ]nmap-parse-output-bash-completion-1.5.1-r0.apk2022-06-12 23:54 1.7K
[   ]scaleway-cli-zsh-completion-2.29.0-r1.apk2024-05-19 01:29 1.7K
[   ]arc-20221218-r0.apk2023-01-07 14:34 1.7K
[   ]usbguard-openrc-1.1.2-r8.apk2024-01-03 20:29 1.7K
[   ]razercfg-openrc-0.42-r6.apk2024-04-15 23:03 1.7K
[   ]arc-dark-20221218-r0.apk2023-01-07 14:34 1.7K
[   ]tuptime-openrc-5.2.2-r3.apk2023-07-03 00:04 1.8K
[   ]sing-box-openrc-1.8.4-r4.apk2024-05-19 01:29 1.8K
[   ]kopia-bash-completion-0.17.0-r0.apk2024-05-31 00:34 1.8K
[   ]dcmtk-openrc-3.6.8-r0.apk2024-01-13 23:53 1.8K
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-04-15 23:03 1.8K
[   ]fastd-openrc-22-r3.apk2023-10-22 06:18 1.8K
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2020-12-10 20:09 1.8K
[   ]arc-lighter-20221218-r0.apk2023-01-07 14:34 1.8K
[   ]firewalld-openrc-2.1.2-r0.apk2024-04-15 09:15 1.8K
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2020-12-10 20:09 1.8K
[   ]arc-darker-20221218-r0.apk2023-01-07 14:34 1.8K
[   ]drawpile-server-openrc-2.2.1-r1.apk2024-05-29 19:41 1.8K
[   ]ghq-bash-completion-1.6.1-r1.apk2024-05-19 01:28 1.8K
[   ]grommunio-admin-api-openrc-1.15-r2.apk2024-05-31 01:04 1.8K
[   ]efl-gdb-1.27.0-r1.apk2024-04-12 01:37 1.8K
[   ]docker-volume-local-persist-openrc-1.3.0-r26.apk2024-05-19 01:28 1.8K
[   ]cliphist-fzf-0.5.0-r3.apk2024-05-19 01:28 1.8K
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2023-10-15 01:23 1.8K
[   ]kopia-zsh-completion-0.17.0-r0.apk2024-05-31 00:34 1.8K
[   ]peervpn-openrc-0.044-r5.apk2022-08-04 10:48 1.8K
[   ]crowdsec-openrc-1.6.2-r1.apk2024-06-01 18:37 1.8K
[   ]mailctl-bash-completion-0.9.2-r0.apk2024-01-02 21:57 1.8K
[   ]yazi-fish-completion-0.2.5-r0.apk2024-04-29 00:46 1.8K
[   ]octoprint-openrc-1.10.1-r0.apk2024-05-17 01:59 1.8K
[   ]apollo-openrc-0.2.1-r3.apk2024-05-19 01:28 1.8K
[   ]wpaperd-fish-completion-0.3.0-r2.apk2023-07-03 00:04 1.8K
[   ]otrs-fastcgi-6.0.48-r1.apk2024-01-15 10:37 1.8K
[   ]headscale-openrc-0.22.3-r7.apk2024-05-19 01:28 1.8K
[   ]scaleway-cli-bash-completion-2.29.0-r1.apk2024-05-19 01:29 1.8K
[   ]spacectl-zsh-completion-0.30.0-r3.apk2024-05-19 01:29 1.8K
[   ]piping-server-openrc-0.18.0-r0.apk2024-05-05 12:19 1.8K
[   ]udpt-openrc-3.1.2-r0.apk2023-05-26 23:46 1.8K
[   ]geodns-openrc-3.3.0-r6.apk2024-05-19 01:28 1.8K
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2020-12-10 20:09 1.8K
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2023-08-21 12:45 1.8K
[   ]forgejo-openrc-7.0.3-r0.apk2024-05-22 23:47 1.8K
[   ]py3-yosys-0.36-r3.apk2024-04-22 18:32 1.8K
[   ]otrs-nginx-6.0.48-r1.apk2024-01-15 10:37 1.8K
[   ]espeakup-openrc-0.90-r2.apk2024-03-14 18:18 1.8K
[   ]mkdocs-ivory-pyc-0.4.6-r4.apk2024-04-15 23:03 1.8K
[   ]mkdocs-cinder-pyc-1.2.0-r4.apk2024-04-15 23:03 1.8K
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-03-18 01:13 1.8K
[   ]jackal-openrc-0.64.0-r8.apk2024-05-19 01:28 1.8K
[   ]coventry-openrc-0.8.1-r0.apk2024-02-24 16:01 1.8K
[   ]dnsfunnel-openrc-0.0.1.6-r0.apk2023-11-06 22:49 1.8K
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2023-01-12 17:03 1.8K
[   ]irccd-openrc-4.0.3-r0.apk2023-07-30 00:01 1.8K
[   ]listenbrainz-mpd-fish-completion-2.3.7-r0.apk2024-06-05 19:57 1.8K
[   ]turnstile-openrc-0.1.8-r0.apk2023-09-03 06:03 1.8K
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2023-07-03 00:03 1.8K
[   ]mkdocs-windmill-pyc-1.0.5-r3.apk2024-04-15 23:03 1.8K
[   ]materia-kde-konsole-20220823-r0.apk2023-03-19 23:40 1.8K
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r4.apk2024-04-15 23:03 1.8K
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-05-11 02:35 1.8K
[   ]mkdocs-bootstrap-pyc-1.1.1-r1.apk2024-04-15 23:03 1.8K
[   ]mkdocs-bootstrap4-pyc-0.1.5-r4.apk2024-04-15 23:03 1.8K
[   ]gearmand-openrc-1.1.21-r1.apk2024-04-22 19:58 1.8K
[   ]mkdocs-cluster-pyc-0.0.9-r4.apk2024-04-15 23:03 1.8K
[   ]taskcafe-openrc-0.3.6-r6.apk2024-05-19 01:29 1.8K
[   ]laminar-zsh-completion-1.3-r4.apk2024-04-22 19:58 1.8K
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-04-15 23:03 1.8K
[   ]mkdocs-bootstrap386-pyc-0.0.2-r4.apk2024-04-15 23:03 1.8K
[   ]p910nd-openrc-0.97-r2.apk2022-06-04 14:38 1.8K
[   ]mkdocs-gitbook-pyc-0.0.1-r4.apk2024-04-15 23:03 1.8K
[   ]minidyndns-openrc-1.3.0-r3.apk2021-10-19 03:46 1.8K
[   ]yaru-schemas-23.10.0-r0.apk2024-04-18 04:06 1.8K
[   ]materia-dark-kde-konsole-20220823-r0.apk2023-03-19 23:40 1.8K
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r0.apk2022-10-13 22:58 1.8K
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2020-12-10 20:09 1.8K
[   ]pimd-dense-openrc-2.1.0-r0.apk2023-01-14 02:44 1.8K
[   ]reaction-openrc-1.4.0-r0.apk2024-05-31 12:59 1.8K
[   ]pnpm-zsh-completion-9.1.2-r0.apk2024-05-27 09:06 1.9K
[   ]debconf-bash-completion-1.5.82-r0.apk2023-03-16 15:38 1.9K
[   ]ckb-next-daemon-openrc-0.6.0-r1.apk2023-07-19 21:10 1.9K
[   ]laminar-openrc-1.3-r4.apk2024-04-22 19:58 1.9K
[   ]manticore-openrc-6.2.12-r1.apk2024-05-24 04:46 1.9K
[   ]lizardfs-bash-completion-3.13.0-r13.apk2024-04-22 19:58 1.9K
[   ]mailctl-fish-completion-0.9.2-r0.apk2024-01-02 21:57 1.9K
[   ]zapret-openrc-0.0.0_git20220125-r0.apk2022-02-02 12:47 1.9K
[   ]laminar-bash-completion-1.3-r4.apk2024-04-22 19:58 1.9K
[   ]mtg-openrc-2.1.7-r14.apk2024-05-19 01:28 1.9K
[   ]speedtest_exporter-openrc-0.3.2-r8.apk2024-05-19 01:29 1.9K
[   ]betula-openrc-1.1.0-r3.apk2024-05-19 01:28 1.9K
[   ]tpm2-pkcs11-dev-1.9.0-r1.apk2023-04-22 18:11 1.9K
[   ]llmnrd-openrc-0.7-r1.apk2022-10-28 17:21 1.9K
[   ]xkb-switch-doc-1.8.5-r0.apk2021-12-11 18:53 1.9K
[   ]eiwd-openrc-2.16-r0.apk2024-03-20 21:07 1.9K
[   ]pnpm-fish-completion-9.1.2-r0.apk2024-05-27 09:06 1.9K
[   ]prometheus-bind-exporter-openrc-0.7.0-r4.apk2024-05-19 01:28 1.9K
[   ]libsirocco-dev-2.1.0-r2.apk2023-08-01 17:19 1.9K
[   ]f_scripts-f_game-0.6-r0.apk2024-05-29 18:24 1.9K
[   ]mitra-openrc-2.21.0-r0.apk2024-06-02 08:27 1.9K
[   ]flowd-openrc-0.9.1-r9.apk2023-07-04 00:52 1.9K
[   ]upterm-server-openrc-0.13.5-r1.apk2024-05-19 01:29 1.9K
[   ]lemmy-openrc-0.19.3-r1.apk2024-02-20 01:42 1.9K
[   ]minisatip-openrc-1.3.4-r0.apk2024-03-15 07:19 1.9K
[   ]halp-fish-completion-0.1.7-r1.apk2023-07-03 00:02 1.9K
[   ]py3-pysequoia-pyc-0.1.20-r2.apk2024-04-17 04:54 1.9K
[   ]olsrd-openrc-0.9.8-r2.apk2022-10-28 17:21 1.9K
[   ]pict-rs-openrc-0.5.13-r0.apk2024-04-16 13:19 1.9K
[   ]soju-openrc-0.7.0-r3.apk2024-05-19 01:29 1.9K
[   ]mimir-openrc-2.11.0-r3.apk2024-05-19 01:28 1.9K
[   ]otrs-openrc-6.0.48-r1.apk2024-01-15 10:37 1.9K
[   ]conduit-openrc-0.7.0-r0.apk2024-04-25 10:45 1.9K
[   ]empede-openrc-0.2.3-r0.apk2024-01-07 02:48 1.9K
[   ]prometheus-ceph-exporter-openrc-4.2.3-r2.apk2024-05-19 01:28 1.9K
[   ]satellite-openrc-1.0.0-r21.apk2024-05-19 01:29 1.9K
[   ]prometheus-smartctl-exporter-openrc-0.12.0-r3.apk2024-05-19 01:28 1.9K
[   ]librespot-openrc-0.4.2-r4.apk2023-08-01 06:55 1.9K
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-03-18 01:13 1.9K
[   ]json2tsv-jaq-1.1-r0.apk2023-07-22 16:13 1.9K
[   ]soundfont-vintage-dreams-waves-doc-2.1-r1.apk2022-04-17 08:28 1.9K
[   ]sish-openrc-2.16.0-r0.apk2024-05-31 01:04 1.9K
[   ]please-build-bash-completion-17.8.7-r1.apk2024-05-19 01:28 1.9K
[   ]zrepl-zsh-completion-0.6.1-r4.apk2024-05-19 01:29 1.9K
[   ]please-build-zsh-completion-17.8.7-r1.apk2024-05-19 01:28 1.9K
[   ]seaweedfs-openrc-3.63-r2.apk2024-05-19 01:29 1.9K
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-04-11 12:47 1.9K
[   ]stubby-openrc-0.4.3-r0.apk2023-02-23 02:12 1.9K
[   ]conntracct-openrc-0.2.7-r24.apk2024-05-19 01:28 1.9K
[   ]flawz-fish-completion-0.2.1-r0.apk2024-06-02 22:57 1.9K
[   ]woodpecker-openrc-2.5.0-r0.apk2024-06-02 08:55 1.9K
[   ]sthttpd-openrc-2.27.1-r2.apk2022-10-28 17:21 1.9K
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r0.apk2024-04-16 19:38 2.0K
[   ]ry-bash-completion-0.5.2-r1.apk2022-10-28 17:21 2.0K
[   ]dmarc-metrics-exporter-openrc-1.0.0-r2.apk2024-04-15 23:03 2.0K
[   ]ma1sd-openrc-2.5.0-r3.apk2024-05-07 22:17 2.0K
[   ]mailctl-zsh-completion-0.9.2-r0.apk2024-01-02 21:57 2.0K
[   ]pnpm-bash-completion-9.1.2-r0.apk2024-05-27 09:06 2.0K
[   ]maddy-openrc-0.7.1-r3.apk2024-05-19 01:28 2.0K
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2020-12-10 20:09 2.0K
[   ]thanos-openrc-0.31.0-r6.apk2024-05-19 01:29 2.0K
[   ]tang-openrc-14-r0.apk2023-07-23 16:03 2.0K
[   ]py3-slidge-style-parser-pyc-0.1.6-r1.apk2024-04-15 23:03 2.0K
[   ]trafficserver9-openrc-9.2.4-r0.apk2024-04-05 15:43 2.0K
[   ]bees-openrc-0.10-r0.apk2023-09-05 14:10 2.0K
[   ]wpaperd-bash-completion-0.3.0-r2.apk2023-07-03 00:04 2.0K
[   ]py3-lzo-pyc-1.16-r1.apk2024-04-15 23:03 2.0K
[   ]xisxwayland-doc-2-r1.apk2023-07-30 00:01 2.0K
[   ]mautrix-signal-openrc-0.6.1-r1.apk2024-05-19 01:28 2.0K
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-04-15 23:03 2.0K
[   ]iipsrv-openrc-1.2-r0.apk2023-10-05 07:24 2.0K
[   ]gortr-openrc-0.14.8-r6.apk2024-05-19 01:28 2.0K
[   ]mautrix-discord-openrc-0.6.5-r4.apk2024-05-19 01:28 2.0K
[   ]prometheus-unbound-exporter-openrc-0.4.1-r6.apk2024-05-19 01:28 2.0K
[   ]cri-o-openrc-1.29.1-r3.apk2024-05-19 01:28 2.0K
[   ]exercism-bash-completion-3.2.0-r5.apk2024-05-19 01:28 2.0K
[   ]mautrix-slack-openrc-0_git20230925-r4.apk2024-05-19 01:28 2.0K
[   ]geomyidae-openrc-0.34-r2.apk2022-10-28 17:20 2.0K
[   ]turn-rs-openrc-2.1.3-r0.apk2024-04-18 16:02 2.0K
[   ]perl-lv-backend-sentinel-0.006-r0.apk2024-01-19 00:46 2.0K
[   ]stayrtr-openrc-0.5.1-r3.apk2024-05-19 01:29 2.0K
[   ]ddnrs-openrc-0.3.0-r0.apk2024-05-13 09:58 2.0K
[   ]py3-keepalive-doc-0.5-r5.apk2024-04-15 09:15 2.0K
[   ]lizardfs-cgiserv-openrc-3.13.0-r13.apk2024-04-22 19:58 2.0K
[   ]mautrix-gmessages-openrc-0.4.1-r1.apk2024-05-19 01:28 2.0K
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2020-12-10 20:09 2.0K
[   ]py3-sphinx-theme-better-pyc-0.1.5-r6.apk2024-04-15 23:03 2.0K
[   ]wpaperd-zsh-completion-0.3.0-r2.apk2023-07-03 00:04 2.0K
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2020-12-10 20:09 2.0K
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-05-08 15:01 2.0K
[   ]tealdeer-bash-completion-1.6.1-r2.apk2023-07-03 00:04 2.0K
[   ]agate-openrc-3.3.7-r0.apk2024-04-15 23:16 2.0K
[   ]filebeat-openrc-8.13.4-r0.apk2024-05-19 23:05 2.0K
[   ]msh-openrc-2.5.0-r5.apk2024-05-19 01:28 2.0K
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2020-12-10 20:09 2.0K
[   ]wlopm-doc-0.1.0-r0.apk2022-06-28 17:08 2.0K
[   ]downloader-cli-0.3.4-r1.apk2024-04-15 23:03 2.0K
[   ]lomiri-docviewer-app-doc-3.0.4-r0.apk2024-03-15 18:50 2.0K
[   ]ombi-openrc-4.43.5-r1.apk2023-11-18 20:37 2.0K
[   ]autobrr-openrc-1.42.0-r1.apk2024-05-19 01:28 2.0K
[   ]lazymc-openrc-0.2.11-r0.apk2024-03-24 15:48 2.0K
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2020-12-10 20:09 2.0K
[   ]rage-fish-completion-0.9.2-r1.apk2023-07-03 00:03 2.0K
[   ]mautrix-meta-openrc-0.3.1-r1.apk2024-05-19 01:28 2.0K
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r0.apk2022-10-13 22:58 2.0K
[   ]prometheus-smokeping-prober-openrc-0.7.1-r5.apk2024-05-19 01:28 2.0K
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2020-12-10 20:09 2.0K
[   ]alps-openrc-0_git20230807-r4.apk2024-05-19 01:28 2.0K
[   ]yarr-openrc-2.4-r6.apk2024-05-19 01:29 2.0K
[   ]spacectl-bash-completion-0.30.0-r3.apk2024-05-19 01:29 2.0K
[   ]jackett-openrc-0.21.2458-r0.apk2024-04-26 16:19 2.0K
[   ]atool-bash-completion-0.39.0-r4.apk2022-10-28 17:20 2.0K
[   ]libuninameslist-doc-20230916-r0.apk2023-09-18 06:50 2.0K
[   ]moosefs-cgiserv-openrc-3.0.117-r1.apk2023-06-17 23:06 2.0K
[   ]utop-common-2.9.1-r4.apk2024-04-04 12:39 2.0K
[   ]metricbeat-openrc-8.13.4-r0.apk2024-05-19 23:05 2.0K
[   ]py3-editdistance-s-pyc-1.0.0-r5.apk2024-04-15 23:03 2.0K
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2023-05-15 18:46 2.0K
[   ]py3-pyvows-doc-3.0.0-r4.apk2024-04-15 23:03 2.1K
[   ]firehol-openrc-3.1.7-r2.apk2023-05-13 22:21 2.1K
[   ]openswitcher-proxy-openrc-0.5.0-r3.apk2024-04-15 23:03 2.1K
[   ]prowlarr-openrc-1.18.0.4543-r0.apk2024-06-03 08:46 2.1K
[   ]listenbrainz-mpd-zsh-completion-2.3.7-r0.apk2024-06-05 19:57 2.1K
[   ]tailspin-fish-completion-3.0.0-r0.apk2024-02-03 23:34 2.1K
[   ]lomiri-indicator-network-doc-1.0.2-r0.apk2024-02-07 01:49 2.1K
[   ]apmpkg-fish-completion-1.5.1-r3.apk2023-07-03 00:00 2.1K
[   ]rezolus-openrc-2.11.1-r3.apk2023-05-24 16:04 2.1K
[   ]sonarr-openrc-4.0.5.1710-r0.apk2024-05-30 18:20 2.1K
[   ]autoscan-openrc-1.4.0-r4.apk2024-05-19 01:28 2.1K
[   ]lidarr-openrc-2.3.3.4204-r0.apk2024-05-17 14:50 2.1K
[   ]radarr-openrc-5.6.0.8846-r0.apk2024-05-17 14:47 2.1K
[   ]yazi-bash-completion-0.2.5-r0.apk2024-04-29 00:46 2.1K
[   ]strfry-openrc-0.9.6-r0.apk2024-01-25 18:00 2.1K
[   ]dasht-zsh-completion-2.4.0-r0.apk2023-12-24 04:12 2.1K
[   ]pithos-doc-1.6.1-r0.apk2023-06-17 00:21 2.1K
[   ]spampd-openrc-2.61-r1.apk2022-10-02 16:56 2.1K
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r0.apk2022-08-13 17:53 2.1K
[   ]docker-auth-openrc-1.11.0-r8.apk2024-05-19 01:28 2.1K
[   ]wk-adblock-doc-0.0.4-r5.apk2023-05-24 16:04 2.1K
[   ]dstask-bash-completion-0.26-r7.apk2024-05-19 01:28 2.1K
[   ]kondo-fish-completion-0.8-r0.apk2023-12-20 23:45 2.1K
[   ]yazi-zsh-completion-0.2.5-r0.apk2024-04-29 00:46 2.1K
[   ]viewnior-doc-1.8-r1.apk2023-06-17 00:22 2.1K
[   ]kondo-bash-completion-0.8-r0.apk2023-12-20 23:45 2.1K
[   ]halp-bash-completion-0.1.7-r1.apk2023-07-03 00:02 2.1K
[   ]listenbrainz-mpd-bash-completion-2.3.7-r0.apk2024-06-05 19:57 2.1K
[   ]aero2solver-openrc-1.1.0-r0.apk2024-05-16 20:35 2.1K
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-04-15 23:03 2.1K
[   ]f_scripts-f_maps-0.6-r0.apk2024-05-29 18:24 2.1K
[   ]perl-lv-backend-magic-0.006-r0.apk2024-01-19 00:46 2.1K
[   ]clevis-bash-completion-19-r0.apk2023-01-29 20:27 2.1K
[   ]zot-openrc-2.0.4-r2.apk2024-05-19 01:29 2.1K
[   ]lxd-feature-scripts-5.20-r3.apk2024-05-19 01:28 2.1K
[   ]etcd-openrc-3.5.13-r2.apk2024-05-19 01:28 2.1K
[   ]liblinbox-doc-1.7.0-r3.apk2023-08-01 17:19 2.1K
[   ]exercism-zsh-completion-3.2.0-r5.apk2024-05-19 01:28 2.1K
[   ]ytmdl-zsh-completion-2024.04.14-r0.apk2024-05-13 09:58 2.1K
[   ]bordeaux-openrc-0.8.1-r0.apk2024-02-26 22:07 2.1K
[   ]hitide-openrc-0.15.0-r0.apk2024-03-30 07:39 2.1K
[   ]mdnsd-openrc-0.12-r1.apk2023-05-15 18:46 2.1K
[   ]qtpass-doc-1.4.0-r0.apk2023-11-06 18:37 2.1K
[   ]fuzzylite-doc-6.0-r0.apk2023-04-17 14:06 2.1K
[   ]oauth2-proxy-openrc-7.6.0-r4.apk2024-05-19 01:28 2.1K
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-05-04 15:42 2.1K
[   ]grommunio-admin-api-bash-completion-1.15-r2.apk2024-05-31 01:04 2.1K
[   ]cherrytree-doc-1.1.2-r0.apk2024-04-10 01:13 2.2K
[   ]flawz-bash-completion-0.2.1-r0.apk2024-06-02 22:57 2.2K
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-04-11 12:47 2.2K
[   ]kuma-dp-openrc-2.7.2-r1.apk2024-05-19 01:28 2.2K
[   ]ydcv-zsh-completion-0.7-r7.apk2024-04-15 23:03 2.2K
[   ]nano-hare-0_git20231021-r0.apk2024-01-31 17:23 2.2K
[   ]kuma-cp-openrc-2.7.2-r1.apk2024-05-19 01:28 2.2K
[   ]lemmy-ui-openrc-0.19.3-r0.apk2024-02-10 05:41 2.2K
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2020-12-10 20:09 2.2K
[   ]apmpkg-bash-completion-1.5.1-r3.apk2023-07-03 00:00 2.2K
[   ]code-oss-bash-completion-1.89.1-r0.apk2024-05-31 00:34 2.2K
[   ]flare-game-1.14-r0.apk2023-01-26 20:28 2.2K
[   ]mint-themes-2.1.1-r0.apk2023-06-17 00:20 2.2K
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2023-08-22 12:22 2.2K
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-04-15 23:03 2.2K
[   ]flawz-zsh-completion-0.2.1-r0.apk2024-06-02 22:57 2.2K
[   ]planner-doc-0.14.92-r0.apk2024-01-08 10:42 2.2K
[   ]tealdeer-fish-completion-1.6.1-r2.apk2023-07-03 00:04 2.2K
[   ]wf-shell-doc-0.8.1-r0.apk2024-03-15 07:19 2.2K
[   ]wpa_actiond-openrc-1.4-r7.apk2022-10-28 17:21 2.2K
[   ]aero2solver-doc-1.1.0-r0.apk2024-05-16 20:35 2.2K
[   ]cargo-leptos-doc-0.2.17-r0.apk2024-04-28 21:56 2.2K
[   ]ddgr-bash-completion-2.2-r0.apk2024-01-14 20:13 2.2K
[   ]libideviceactivation-doc-1.1.1-r4.apk2023-05-15 04:50 2.2K
[   ]syncthing-gtk-doc-0.9.4.5-r1.apk2024-04-15 23:03 2.2K
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-04-15 23:03 2.2K
[   ]xandikos-openrc-0.2.11-r1.apk2024-04-15 23:03 2.2K
[   ]tailspin-bash-completion-3.0.0-r0.apk2024-02-03 23:34 2.2K
[   ]stardict-doc-3.0.6-r6.apk2023-04-30 23:31 2.2K
[   ]vector-openrc-0.34.2-r0.apk2024-01-05 19:42 2.2K
[   ]tmpl-doc-0.4.0-r4.apk2024-05-19 01:29 2.2K
[   ]gamja-doc-1.0.0_beta9-r0.apk2023-11-26 23:35 2.2K
[   ]helm-ls-doc-0.0.12-r2.apk2024-05-19 01:28 2.2K
[   ]helmfile-doc-0.162.0-r3.apk2024-05-19 01:28 2.2K
[   ]hare-madeline-doc-0.1_git20240315-r0.apk2024-05-04 15:41 2.2K
[   ]rustdesk-server-openrc-1.1.10.3-r0.apk2024-02-12 00:04 2.2K
[   ]pegtl-doc-3.2.7-r0.apk2023-09-21 23:48 2.2K
[   ]imgdiff-doc-1.0.2-r19.apk2024-05-19 01:28 2.2K
[   ]yazi-doc-0.2.5-r0.apk2024-04-29 00:46 2.2K
[   ]svls-doc-0.2.11-r0.apk2024-01-03 20:16 2.3K
[   ]vale-doc-3.4.2-r1.apk2024-05-19 01:29 2.3K
[   ]pnpm-doc-9.1.2-r0.apk2024-05-27 09:06 2.3K
[   ]qt-jdenticon-doc-0.3.0-r0.apk2024-04-27 23:46 2.3K
[   ]tree-sitter-xml-doc-0.6.3-r0.apk2024-05-10 14:57 2.3K
[   ]ry-zsh-completion-0.5.2-r1.apk2022-10-28 17:21 2.3K
[   ]tabby-doc-3.1-r1.apk2023-11-26 00:42 2.3K
[   ]drogon-doc-1.9.4-r0.apk2024-05-05 23:25 2.3K
[   ]gx-go-doc-1.9.0-r25.apk2024-05-19 01:28 2.3K
[   ]slurm-doc-0.4.4-r0.apk2022-05-02 02:14 2.3K
[   ]lua-language-server-doc-3.9.1-r0.apk2024-05-16 20:35 2.3K
[   ]tree-sitter-scheme-doc-0.6.0-r0.apk2023-11-20 01:26 2.3K
[   ]tree-sitter-nix-doc-0_git20230713-r0.apk2023-11-19 03:32 2.3K
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-04-11 12:47 2.3K
[   ]gx-doc-0.14.3-r23.apk2024-05-19 01:28 2.3K
[   ]motion-openrc-4.6.0-r0.apk2023-11-13 23:52 2.3K
[   ]ergo-ldap-doc-0.0.1-r10.apk2024-05-19 01:28 2.3K
[   ]dnscontrol-doc-4.11.0-r0.apk2024-05-19 01:28 2.3K
[   ]py-spy-doc-0.3.14-r3.apk2023-07-03 00:03 2.3K
[   ]spacectl-doc-0.30.0-r3.apk2024-05-19 01:29 2.3K
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2023-05-15 18:45 2.3K
[   ]copyq-bash-completion-8.0.0-r0.apk2024-03-22 08:46 2.3K
[   ]mml-fish-completion-1.0.0-r0.apk2023-11-12 13:21 2.3K
[   ]tree-sitter-markdown-doc-0.2.3-r0.apk2024-03-22 23:33 2.3K
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2023-11-29 09:20 2.3K
[   ]eludris-doc-0.3.3-r1.apk2023-06-17 00:19 2.3K
[   ]gmid-openrc-2.0.3-r0.apk2024-06-04 19:07 2.3K
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2023-12-16 03:06 2.3K
[   ]typstfmt-doc-0.2.7-r0.apk2024-01-25 00:53 2.3K
[   ]bootterm-dbg-0.5-r0.apk2024-05-09 20:30 2.3K
[   ]sentinel-proxy-openrc-2.1.0-r0.apk2023-11-18 18:32 2.3K
[   ]empede-doc-0.2.3-r0.apk2024-01-07 02:48 2.3K
[   ]py3-python-logstash-doc-0.4.8-r3.apk2024-04-15 23:03 2.3K
[   ]ytmdl-bash-completion-2024.04.14-r0.apk2024-05-13 09:58 2.3K
[   ]ouch-bash-completion-0.5.1-r0.apk2023-12-24 18:13 2.3K
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-01-03 13:58 2.3K
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-01-13 14:44 2.3K
[   ]innernet-openrc-1.6.1-r0.apk2024-02-23 20:45 2.3K
[   ]vectoroids-doc-1.1.0-r2.apk2024-05-28 13:28 2.3K
[   ]pnmixer-doc-0.7.2-r3.apk2023-10-11 19:35 2.3K
[   ]perl-promise-es6-future-0.28-r0.apk2024-01-19 01:18 2.3K
[   ]bgs-doc-0.8-r1.apk2022-10-28 17:20 2.3K
[   ]ddgr-fish-completion-2.2-r0.apk2024-01-14 20:13 2.3K
[   ]exabgp-openrc-4.2.21-r4.apk2024-04-15 23:03 2.3K
[   ]mml-bash-completion-1.0.0-r0.apk2023-11-12 13:21 2.3K
[   ]php81-pecl-imagick-dev-3.7.0-r5.apk2024-04-11 02:39 2.3K
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-04-15 23:03 2.3K
[   ]libtins-doc-4.5-r1.apk2024-04-22 19:58 2.3K
[   ]theme.sh-doc-1.1.5-r0.apk2023-09-05 11:55 2.3K
[   ]dublin-traceroute-doc-0.4.2-r3.apk2023-09-16 23:20 2.3K
[   ]mint-x-theme-2.1.1-r0.apk2023-06-17 00:20 2.3K
[   ]autorandr-bash-completion-1.15-r0.apk2024-03-16 13:36 2.3K
[   ]piler-openrc-1.4.5-r0.apk2024-05-24 02:10 2.3K
[   ]mpdris2-lang-0.9.1-r3.apk2022-07-28 01:53 2.3K
[   ]rage-zsh-completion-0.9.2-r1.apk2023-07-03 00:03 2.3K
[   ]py-spy-bash-completion-0.3.14-r3.apk2023-07-03 00:03 2.3K
[   ]slidge-openrc-0.1.0-r1.apk2024-04-15 23:03 2.3K
[   ]halp-zsh-completion-0.1.7-r1.apk2023-07-03 00:02 2.3K
[   ]xandikos-doc-0.2.11-r1.apk2024-04-15 23:03 2.3K
[   ]json2tsv-jaq-doc-1.1-r0.apk2023-07-22 16:13 2.4K
[   ]forgejo-runner-openrc-3.4.1-r2.apk2024-05-19 01:28 2.4K
[   ]maddy-doc-0.7.1-r3.apk2024-05-19 01:28 2.4K
[   ]perl-dns-unbound-anyevent-0.29-r0.apk2024-04-16 19:38 2.4K
[   ]qucs-s-doc-1.1.0-r1.apk2023-08-01 17:19 2.4K
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r3.apk2024-04-15 23:03 2.4K
[   ]ouch-fish-completion-0.5.1-r0.apk2023-12-24 18:13 2.4K
[   ]powerline-extra-symbols-doc-0_git20191017-r0.apk2021-03-21 07:00 2.4K
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2023-07-30 00:01 2.4K
[   ]gaupol-doc-1.12-r2.apk2024-04-15 23:03 2.4K
[   ]pongoos-loader-0_git20210704-r1.apk2022-10-28 17:21 2.4K
[   ]tealdeer-zsh-completion-1.6.1-r2.apk2023-07-03 00:04 2.4K
[   ]f_scripts-f_timer-0.6-r0.apk2024-05-29 18:24 2.4K
[   ]exercism-fish-completion-3.2.0-r5.apk2024-05-19 01:28 2.4K
[   ]ptpd-openrc-2.3.1-r1.apk2022-10-28 17:21 2.4K
[   ]ifuse-doc-1.1.4-r4.apk2023-08-13 21:16 2.4K
[   ]btfs-doc-2.24-r12.apk2024-04-22 19:57 2.4K
[   ]maxima-bash-completion-5.47.0-r7.apk2024-04-19 08:06 2.4K
[   ]endlessh-doc-1.1-r0.apk2022-02-20 19:53 2.4K
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r0.apk2022-06-15 23:16 2.4K
[   ]rage-bash-completion-0.9.2-r1.apk2023-07-03 00:03 2.4K
[   ]nicotine-plus-doc-3.3.2-r1.apk2024-04-15 23:03 2.4K
[   ]clipit-doc-1.4.5-r2.apk2023-04-16 20:48 2.4K
[   ]hyprwayland-scanner-doc-0.3.8-r0.apk2024-05-21 23:52 2.4K
[   ]openwsman-doc-2.7.2-r4.apk2024-04-15 23:03 2.4K
[   ]ocp-indent-vim-1.8.2-r2.apk2024-03-23 21:50 2.4K
[   ]otrs-bash-completion-6.0.48-r1.apk2024-01-15 10:37 2.4K
[   ]lottieconverter-doc-0.2_git20231219-r0.apk2023-12-19 23:23 2.4K
[   ]somebar-doc-1.0.3-r0.apk2023-06-17 00:21 2.4K
[   ]ghq-zsh-completion-1.6.1-r1.apk2024-05-19 01:28 2.4K
[   ]lemmy-localdb-0.19.3-r1.apk2024-02-20 01:42 2.4K
[   ]perl-dns-unbound-ioasync-0.29-r0.apk2024-04-16 19:38 2.4K
[   ]apmpkg-zsh-completion-1.5.1-r3.apk2023-07-03 00:00 2.4K
[   ]lxd-feature-openrc-5.20-r3.apk2024-05-19 01:28 2.4K
[   ]xdg-desktop-portal-hyprland-doc-1.3.1-r2.apk2024-03-15 07:19 2.5K
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-04-15 23:03 2.5K
[   ]kondo-zsh-completion-0.8-r0.apk2023-12-20 23:45 2.5K
[   ]ghq-fish-completion-1.6.1-r1.apk2024-05-19 01:28 2.5K
[   ]tailspin-zsh-completion-3.0.0-r0.apk2024-02-03 23:34 2.5K
[   ]flare-engine-doc-1.14-r0.apk2023-01-26 20:28 2.5K
[   ]lsdvd-doc-0.17-r0.apk2023-01-31 21:08 2.5K
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-04-15 23:03 2.5K
[   ]seed7-nano-05.20240322-r0.apk2024-03-24 14:17 2.5K
[   ]beard-doc-0.4-r0.apk2022-08-28 18:21 2.5K
[   ]frescobaldi-doc-3.3.0-r1.apk2024-04-15 23:03 2.5K
[   ]policycoreutils-bash-completion-3.6-r0.apk2024-01-08 10:43 2.5K
[   ]bwrap-oci-doc-0.2-r1.apk2022-10-28 17:20 2.5K
[   ]mktorrent-borg-doc-0.9.9-r1.apk2022-08-04 10:48 2.5K
[   ]ideviceinstaller-doc-1.1.1-r3.apk2023-05-15 04:50 2.5K
[   ]xfce4-mixer-doc-4.18.1-r2.apk2023-10-31 12:12 2.5K
[   ]xlhtml-doc-0.5.1-r0.apk2024-03-30 18:37 2.5K
[   ]steamguard-cli-bash-completion-0.9.6-r0.apk2023-07-03 00:04 2.5K
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-01-19 01:18 2.5K
[   ]freshrss-openrc-1.23.1-r1.apk2024-03-18 07:42 2.5K
[   ]pully-1.0.0-r0.apk2022-02-26 18:34 2.5K
[   ]f_scripts-f_rss-0.6-r0.apk2024-05-29 18:24 2.5K
[   ]stw-doc-0.3-r0.apk2023-03-06 15:44 2.5K
[   ]xendmail-doc-0.4.3-r0.apk2024-03-26 12:53 2.5K
[   ]libcotp-dev-2.0.2-r0.apk2023-10-28 11:40 2.5K
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-02-24 13:59 2.5K
[   ]lsd-bash-completion-1.1.1-r0.apk2024-03-26 00:18 2.5K
[   ]py3-eradicate-doc-2.3.0-r1.apk2024-04-15 23:03 2.5K
[   ]xgalaga-doc-2.1.1.0-r1.apk2022-10-28 17:21 2.5K
[   ]alarmwakeup-dev-0.2.1-r0.apk2023-10-06 07:49 2.6K
[   ]f_scripts-f_theme-0.6-r0.apk2024-05-29 18:24 2.6K
[   ]calibre-pyc-7.12.0-r0.apk2024-06-01 04:33 2.6K
[   ]grommunio-gromox-openrc-2.28-r0.apk2024-05-24 14:40 2.6K
[   ]ustream-ssl-dev-20220116-r1.apk2022-08-04 23:46 2.6K
[   ]tcmu-runner-doc-1.6.0-r5.apk2023-09-01 09:39 2.6K
[   ]py-spy-fish-completion-0.3.14-r3.apk2023-07-03 00:03 2.6K
[   ]tup-vim-0.7.11-r0.apk2023-03-06 14:16 2.6K
[   ]ol-doc-2.4-r0.apk2023-03-28 09:46 2.6K
[   ]jitsi-videobridge-openrc-2.3.105-r0.apk2024-05-24 15:05 2.6K
[   ]idevicerestore-doc-1.0.0-r3.apk2023-05-27 13:04 2.6K
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-01-19 01:18 2.6K
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-04-15 23:03 2.6K
[   ]scooper-doc-1.3-r1.apk2023-05-15 18:46 2.6K
[   ]laze-fish-completion-0.1.21-r0.apk2024-02-13 23:22 2.6K
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-04-15 23:03 2.6K
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2023-12-13 19:13 2.6K
[   ]py3-igraph-dev-0.11.5-r0.apk2024-05-13 09:58 2.6K
[   ]jicofo-openrc-1.0.1078-r0.apk2024-05-24 15:05 2.6K
[   ]flann-doc-1.9.1-r4.apk2022-07-26 07:59 2.6K
[   ]rsstail-doc-2.1-r1.apk2022-10-28 17:21 2.6K
[   ]xcompmgr-doc-1.1.9-r0.apk2022-11-12 21:48 2.6K
[   ]trantor-doc-1.5.18-r0.apk2024-05-04 22:50 2.6K
[   ]lxappearance-doc-0.6.3-r3.apk2023-05-29 06:27 2.6K
[   ]tremc-doc-0.9.3-r0.apk2022-03-18 00:19 2.6K
[   ]keydb-openrc-6.3.4-r0.apk2024-05-25 22:53 2.6K
[   ]faust-vim-2.60.3-r2.apk2023-07-03 00:01 2.6K
[   ]nsnake-doc-3.0.0-r0.apk2022-04-15 17:00 2.6K
[   ]kirc-doc-0.3.2-r0.apk2023-05-23 14:47 2.6K
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2020-12-10 20:09 2.6K
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2020-12-10 20:09 2.6K
[   ]sxcs-doc-1.1.0-r0.apk2024-06-06 22:53 2.6K
[   ]cri-o-bash-completion-1.29.1-r3.apk2024-05-19 01:28 2.6K
[   ]py3-luhn-pyc-0.2.0-r8.apk2024-04-15 23:03 2.6K
[   ]xsoldier-doc-1.8-r1.apk2022-10-28 17:21 2.7K
[   ]lomiri-terminal-app-doc-2.0.2-r0.apk2024-02-07 13:43 2.7K
[   ]mame-common-0.251-r0.apk2023-02-22 12:49 2.7K
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2020-12-10 20:09 2.7K
[   ]release-plz-bash-completion-0.3.70-r0.apk2024-05-28 06:48 2.7K
[   ]code-oss-zsh-completion-1.89.1-r0.apk2024-05-31 00:34 2.7K
[   ]jami-qt-doc-20230925-r0.apk2023-10-15 01:22 2.7K
[   ]py3-visitor-pyc-0.1.3-r6.apk2024-04-15 23:03 2.7K
[   ]wch-isp-doc-0.4.1-r1.apk2024-01-19 19:40 2.7K
[   ]colormake-doc-0.9.20170221-r0.apk2017-10-01 09:52 2.7K
[   ]mpop-vim-1.4.18-r0.apk2023-01-31 23:00 2.7K
[   ]ddgr-zsh-completion-2.2-r0.apk2024-01-14 20:13 2.7K
[   ]laze-bash-completion-0.1.21-r0.apk2024-02-13 23:22 2.7K
[   ]pomo-doc-0.8.1-r16.apk2024-05-19 01:28 2.7K
[   ]py3-unidns-examples-0.0.1-r2.apk2024-04-15 23:03 2.7K
[   ]perl-dns-unbound-mojo-0.29-r0.apk2024-04-16 19:38 2.7K
[   ]tailspin-doc-3.0.0-r0.apk2024-02-03 23:34 2.7K
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2020-12-10 20:09 2.7K
[   ]perl-template-plugin-csv-0.04-r3.apk2023-07-04 00:52 2.7K
[   ]ip2location-doc-8.6.1-r0.apk2023-06-17 00:19 2.7K
[   ]rkdeveloptool-doc-1.1.0-r0.apk2022-02-03 03:09 2.7K
[   ]avdl-doc-0.4.3-r1.apk2022-08-20 16:26 2.7K
[   ]virtme-ng-bash-completion-1.25-r0.apk2024-05-25 13:31 2.7K
[   ]perl-uri-tcp-2.0.0-r0.apk2024-01-15 21:58 2.7K
[   ]h4h5tools-doc-2.2.5-r3.apk2024-05-04 15:41 2.7K
[   ]fzy-doc-1.0-r3.apk2022-10-28 17:20 2.7K
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-02-05 17:12 2.7K
[   ]quodlibet-zsh-completion-4.6.0-r1.apk2024-04-15 23:03 2.7K
[   ]b2sum-doc-20190729-r2.apk2022-10-28 17:20 2.7K
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-01-19 04:45 2.7K
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2022-10-28 17:20 2.8K
[   ]perl-rxperl-mojo-6.8.1-r0.apk2024-01-19 04:45 2.8K
[   ]apulse-doc-0.1.13-r2.apk2024-05-25 12:05 2.8K
[   ]timew-bash-completion-1.4.3-r1.apk2022-10-28 17:21 2.8K
[   ]lfm-doc-3.1-r4.apk2024-04-15 23:03 2.8K
[   ]wayfire-doc-0.8.1-r0.apk2024-03-15 07:19 2.8K
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2020-12-10 20:09 2.8K
[   ]py3-utc-pyc-0.0.3-r8.apk2024-04-15 23:03 2.8K
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2023-07-10 22:18 2.8K
[   ]py3-webrtcvad-pyc-2.0.10-r1.apk2024-05-31 08:16 2.8K
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-05-09 00:38 2.8K
[   ]py3-untokenize-pyc-0.1.1-r3.apk2024-04-15 23:03 2.8K
[   ]f_scripts-f_youtube-0.6-r0.apk2024-05-29 18:24 2.8K
[   ]gatling-openrc-0.16-r4.apk2023-08-07 22:56 2.8K
[   ]mangr0ve-0.1.2-r0.apk2024-01-29 03:19 2.8K
[   ]nb-fish-completion-7.12.1-r0.apk2024-02-24 00:35 2.8K
[   ]chim-doc-1.1.2-r1.apk2023-05-24 16:03 2.8K
[   ]hunspell-es-ar-doc-2.7-r0.apk2023-06-17 00:19 2.8K
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2020-12-10 20:09 2.8K
[   ]river-bash-completion-0.3.2-r0.apk2024-05-31 00:34 2.8K
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-04-15 23:03 2.8K
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2020-12-10 20:09 2.8K
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-01-19 04:45 2.8K
[   ]mml-zsh-completion-1.0.0-r0.apk2023-11-12 13:21 2.8K
[   ]xcape-doc-1.2-r0.apk2021-11-29 22:04 2.8K
[   ]gpa-doc-0.10.0-r2.apk2022-10-28 17:20 2.9K
[   ]py3-timeago-doc-1.0.16-r0.apk2024-05-04 15:42 2.9K
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-04-18 13:11 2.9K
[   ]git-extras-bash-completion-7.2.0-r0.apk2024-05-13 09:58 2.9K
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r0.apk2022-12-18 19:29 2.9K
[   ]zsh-manydots-magic-0_git20230607-r1.apk2023-08-12 01:59 2.9K
[   ]ouch-zsh-completion-0.5.1-r0.apk2023-12-24 18:13 2.9K
[   ]sacc-doc-1.07-r0.apk2023-11-06 18:37 2.9K
[   ]git-quick-stats-doc-2.5.6-r0.apk2024-05-19 17:27 2.9K
[   ]pounce-openrc-3.1-r3.apk2024-01-03 15:12 2.9K
[   ]lomiri-location-service-doc-3.1.0-r1.apk2024-05-19 11:53 2.9K
[   ]pxmenu-1.0.0-r1.apk2023-06-17 00:21 2.9K
[   ]desed-doc-1.2.1-r1.apk2023-05-24 16:03 2.9K
[   ]miraclecast-bash-completion-1.0_git20221016-r0.apk2022-10-17 16:16 2.9K
[   ]pxalarm-3.0.0-r0.apk2024-05-10 03:52 2.9K
[   ]dublin-traceroute-contrib-0.4.2-r3.apk2023-09-16 23:20 2.9K
[   ]mpdcron-zsh-completion-0.3-r1.apk2022-10-28 17:21 2.9K
[   ]noggin-doc-0.1-r8.apk2024-05-19 01:28 2.9K
[   ]f_scripts-f_web-0.6-r0.apk2024-05-29 18:24 2.9K
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2020-12-10 20:09 2.9K
[   ]cri-o-zsh-completion-1.29.1-r3.apk2024-05-19 01:28 2.9K
[   ]gtklock-doc-2.1.0-r0.apk2023-07-18 22:21 2.9K
[   ]nkk-dev-0_git20221010-r0.apk2023-02-23 20:39 2.9K
[   ]cproc-doc-0_git20230502-r0.apk2023-05-14 00:14 2.9K
[   ]createrepo_c-bash-completion-1.0.2-r1.apk2024-04-15 23:03 2.9K
[   ]perl-curry-2.000001-r0.apk2024-01-15 21:58 2.9K
[   ]snowflake-doc-2.9.2-r2.apk2024-05-19 01:29 2.9K
[   ]pamtester-doc-0.1.2-r3.apk2022-10-14 17:08 2.9K
[   ]restic.mk-0.4.0-r0.apk2023-05-13 22:21 2.9K
[   ]vcsh-bash-completion-2.0.5-r0.apk2023-06-17 00:22 2.9K
[   ]f_scripts-f_files-0.6-r0.apk2024-05-29 18:24 2.9K
[   ]libvisio2svg-dev-0.5.5-r3.apk2023-04-30 23:30 2.9K
[   ]xcur2png-doc-0.7.1-r0.apk2024-04-23 10:01 2.9K
[   ]vcsh-zsh-completion-2.0.5-r0.apk2023-06-17 00:22 2.9K
[   ]remake-dev-1.5-r1.apk2022-10-28 17:21 2.9K
[   ]wl-clipboard-x11-doc-5-r3.apk2022-10-28 17:21 2.9K
[   ]rankwidth-dev-0.9-r3.apk2023-08-01 17:19 2.9K
[   ]tootik-openrc-0.10.4-r1.apk2024-05-19 01:29 2.9K
[   ]rke-doc-1.4.3-r8.apk2024-05-19 01:28 2.9K
[   ]perl-xml-libxml-sax-chunkparser-0.00008-r0.apk2024-01-03 19:23 3.0K
[   ]limkd-doc-0.1.2-r0.apk2023-03-25 04:02 3.0K
[   ]libabigail-bash-completion-2.3-r0.apk2023-05-03 12:33 3.0K
[   ]nb-zsh-completion-7.12.1-r0.apk2024-02-24 00:35 3.0K
[   ]perl-cache-lru-0.04-r0.apk2024-01-15 21:58 3.0K
[   ]perl-cairo-gobject-doc-1.005-r3.apk2023-07-04 00:52 3.0K
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-01-19 01:18 3.0K
[   ]lowjs-doc-1.6.2-r2.apk2024-04-15 09:15 3.0K
[   ]lynis-bash-completion-3.1.1-r0.apk2024-03-18 01:13 3.0K
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-02-05 17:12 3.0K
[   ]p910nd-doc-0.97-r2.apk2022-06-04 14:38 3.0K
[   ]hatop-doc-0.8.2-r0.apk2022-08-01 19:46 3.0K
[   ]pokoy-doc-0.2.5-r0.apk2023-05-22 23:23 3.0K
[   ]py3-luhn-0.2.0-r8.apk2024-04-15 23:03 3.0K
[   ]f_scripts-f_networks-0.6-r0.apk2024-05-29 18:24 3.0K
[   ]usbmuxd-doc-1.1.1-r6.apk2024-02-05 20:31 3.0K
[   ]py3-pytaglib-pyc-1.5.0-r3.apk2024-04-15 23:03 3.0K
[   ]isomd5sum-doc-1.2.3-r2.apk2022-11-24 23:54 3.0K
[   ]nb-bash-completion-7.12.1-r0.apk2024-02-24 00:35 3.0K
[   ]pipectl-doc-0.4.1-r1.apk2023-02-01 21:56 3.0K
[   ]libctl-doc-4.5.1-r1.apk2023-05-15 18:46 3.0K
[   ]geonames-dev-0.3.1-r1.apk2024-04-17 17:31 3.0K
[   ]satellite-doc-1.0.0-r21.apk2024-05-19 01:29 3.0K
[   ]pastel-bash-completion-0.9.0-r2.apk2023-07-03 00:03 3.0K
[   ]qgis-doc-3.28.12-r6.apk2024-05-19 01:28 3.0K
[   ]ansiweather-doc-1.19.0-r1.apk2023-07-29 23:59 3.0K
[   ]rankwidth-doc-0.9-r3.apk2023-08-01 17:19 3.0K
[   ]py-spy-zsh-completion-0.3.14-r3.apk2023-07-03 00:03 3.0K
[   ]perl-linux-pid-doc-0.04-r12.apk2023-07-04 00:52 3.0K
[   ]laze-zsh-completion-0.1.21-r0.apk2024-02-13 23:22 3.0K
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2023-07-04 00:52 3.0K
[   ]faultstat-doc-0.01.11-r0.apk2024-01-13 14:44 3.0K
[   ]llmnrd-doc-0.7-r1.apk2022-10-28 17:21 3.0K
[   ]hwatch-doc-0.3.11-r0.apk2024-03-18 01:13 3.0K
[   ]apmpkg-doc-1.5.1-r3.apk2023-07-03 00:00 3.1K
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2023-07-04 00:52 3.1K
[   ]sympow-doc-2.023.7-r0.apk2024-05-26 05:47 3.1K
[   ]bchunk-doc-1.2.2-r2.apk2022-10-28 17:20 3.1K
[   ]mkg3a-doc-0.5.0-r1.apk2022-10-28 17:21 3.1K
[   ]py3-compdb-doc-0.2.0-r7.apk2024-04-15 23:03 3.1K
[   ]ocp-index-vim-1.3.6-r0.apk2024-03-23 21:50 3.1K
[   ]sedutil-doc-1.15.1-r1.apk2022-10-28 17:21 3.1K
[   ]perl-xml-libxml-sax-chunkparser-doc-0.00008-r0.apk2024-01-03 19:23 3.1K
[   ]py3-patatt-doc-0.6.3-r1.apk2024-04-15 23:03 3.1K
[   ]snore-doc-0.3.1-r0.apk2023-11-14 20:46 3.1K
[   ]opendht-doc-3.1.7-r2.apk2024-04-15 23:03 3.1K
[   ]perl-perlio-locale-doc-0.10-r11.apk2023-07-04 00:52 3.1K
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-01-19 00:38 3.1K
[   ]rbw-fish-completion-1.10.0-r0.apk2024-04-25 03:17 3.1K
[   ]py3-cchardet-pyc-2.1.7-r4.apk2024-04-15 23:03 3.1K
[   ]perl-http-thin-0.006-r0.apk2024-01-13 14:37 3.1K
[   ]py3-log-symbols-pyc-0.0.14-r4.apk2024-04-15 23:03 3.1K
[   ]libopensmtpd-dev-0.7-r0.apk2022-02-19 02:19 3.1K
[   ]luksmeta-dev-9-r0.apk2022-06-17 14:01 3.1K
[   ]csmith-doc-2.3.0-r1.apk2022-10-28 17:20 3.1K
[   ]snapper-bash-completion-0.11.0-r0.apk2024-05-14 14:12 3.1K
[   ]cocogitto-zsh-completion-6.1.0-r0.apk2024-03-15 13:14 3.1K
[   ]cocogitto-bash-completion-6.1.0-r0.apk2024-03-15 13:14 3.1K
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2020-12-10 20:09 3.1K
[   ]memdump-doc-1.01-r1.apk2022-10-28 17:21 3.1K
[   ]kbs2-bash-completion-0.7.2-r3.apk2023-07-30 00:01 3.1K
[   ]rbw-bash-completion-1.10.0-r0.apk2024-04-25 03:17 3.1K
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-02-05 17:12 3.1K
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-01-19 04:13 3.1K
[   ]lotide-openrc-0.15.0-r0.apk2024-03-30 07:39 3.1K
[   ]usbguard-zsh-completion-1.1.2-r8.apk2024-01-03 20:29 3.1K
[   ]cpufetch-doc-1.05-r0.apk2024-02-06 16:32 3.1K
[   ]perl-json-maybeutf8-2.000-r0.apk2024-01-15 21:58 3.1K
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-04-15 23:03 3.1K
[   ]py3-mitmproxy-rs-pyc-0.5.1-r0.apk2024-04-15 23:03 3.1K
[   ]harminv-dev-1.4.2-r1.apk2023-10-08 19:25 3.1K
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-04-15 23:03 3.1K
[   ]dwl-doc-0.5-r1.apk2024-03-14 18:18 3.1K
[   ]py3-python-jose-doc-3.3.0-r2.apk2024-04-15 15:09 3.1K
[   ]beard-0.4-r0.apk2022-08-28 18:21 3.1K
[   ]trippy-bash-completion-0.10.0-r0.apk2024-04-06 00:45 3.1K
[   ]wpaperd-doc-0.3.0-r2.apk2023-07-03 00:04 3.1K
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-04-15 23:03 3.1K
[   ]ptylie-doc-0.2-r1.apk2022-10-28 17:21 3.1K
[   ]grommunio-gromox-dev-2.28-r0.apk2024-05-24 14:40 3.2K
[   ]volatility3-doc-2.5.2-r1.apk2024-04-15 23:03 3.2K
[   ]today-6.1.4-r0.apk2023-12-18 15:10 3.2K
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-04-16 03:32 3.2K
[   ]lsd-fish-completion-1.1.1-r0.apk2024-03-26 00:18 3.2K
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2023-07-04 00:52 3.2K
[   ]waylock-doc-1.0.0-r0.apk2024-04-22 06:42 3.2K
[   ]perl-math-libm-doc-1.00-r13.apk2023-07-04 00:52 3.2K
[   ]timeshift-doc-24.01.1-r0.apk2024-03-09 21:53 3.2K
[   ]jalv-doc-1.6.8-r1.apk2023-07-30 00:01 3.2K
[   ]py3-flask-gzip-0.2-r8.apk2024-04-15 23:03 3.2K
[   ]libdng-dev-0.1.1-r0.apk2024-01-21 23:37 3.2K
[   ]perl-uri-redis-0.02-r0.apk2024-01-15 21:58 3.2K
[   ]perl-string-camelcase-0.04-r2.apk2023-07-04 00:52 3.2K
[   ]uclient-dev-20210514-r0.apk2022-06-09 03:00 3.2K
[   ]powerctl-doc-1.1-r5.apk2024-03-16 18:42 3.2K
[   ]fcitx5-lua-dev-5.0.13-r0.apk2024-05-10 05:34 3.2K
[   ]witchery-0.0.3-r2.apk2022-07-26 07:59 3.2K
[   ]today-doc-6.1.4-r0.apk2023-12-18 15:10 3.2K
[   ]xload-doc-1.1.4-r0.apk2022-05-12 11:39 3.2K
[   ]py3-flask-headers-1.0-r9.apk2024-04-15 23:03 3.2K
[   ]perl-digest-crc-doc-0.24-r0.apk2024-01-15 21:58 3.2K
[   ]py3-bottle-request-0.2.0-r9.apk2024-04-15 23:03 3.2K
[   ]perl-datetime-format-atom-1.6.0-r0.apk2024-01-22 12:51 3.2K
[   ]perl-flowd-doc-0.9.1-r9.apk2023-07-04 00:52 3.2K
[   ]perl-cache-lru-doc-0.04-r0.apk2024-01-15 21:58 3.2K
[   ]persistent-cache-cpp-doc-1.0.7-r1.apk2024-04-22 19:58 3.2K
[   ]lxappearance-dev-0.6.3-r3.apk2023-05-29 06:27 3.2K
[   ]kbs2-fish-completion-0.7.2-r3.apk2023-07-30 00:01 3.2K
[   ]pastel-fish-completion-0.9.0-r2.apk2023-07-03 00:03 3.2K
[   ]nitrocli-bash-completion-0.4.1-r3.apk2023-05-24 16:04 3.2K
[   ]tmpmail-doc-1.2.3-r2.apk2023-11-06 18:38 3.2K
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2020-12-10 20:09 3.3K
[   ]py3-allfiles-pyc-1.0-r8.apk2024-04-15 23:03 3.3K
[   ]perl-variable-disposition-0.005-r0.apk2024-01-15 21:58 3.3K
[   ]linuxwave-doc-0.1.5-r0.apk2023-07-22 00:02 3.3K
[   ]py3-utc-0.0.3-r8.apk2024-04-15 23:03 3.3K
[   ]py3-hurry.filesize-pyc-0.9-r7.apk2024-04-15 23:03 3.3K
[   ]stubbyboot-1.0.2-r1.apk2024-03-21 07:57 3.3K
[   ]nvim-cmp-lsp-0.0.0_git20220516-r0.apk2022-06-15 23:16 3.3K
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2023-08-22 12:22 3.3K
[   ]initify-0_git20171210-r1.apk2022-10-28 17:20 3.3K
[   ]fatrace-doc-0.17.0-r0.apk2022-11-18 02:56 3.3K
[   ]openocd-riscv-udev-rules-0_git20230104-r1.apk2023-05-03 13:10 3.3K
[   ]wlclock-doc-1.0.1-r0.apk2021-12-31 01:27 3.3K
[   ]hub-fish-completion-2.14.2-r23.apk2024-05-19 01:28 3.3K
[   ]prjtrellis-db-0_git20230929-r0.apk2024-01-12 03:25 3.3K
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2022-06-16 20:09 3.3K
[   ]py3-bottle-redis-0.2.3-r6.apk2024-04-15 23:03 3.3K
[   ]toml2json-doc-1.3.1-r0.apk2023-08-05 12:40 3.3K
[   ]openocd-esp32-doc-0_git20230921-r4.apk2023-12-06 07:54 3.3K
[   ]sqlar-doc-0_git20180107-r1.apk2022-10-28 17:21 3.3K
[   ]perl-devel-leak-doc-0.03-r12.apk2023-07-04 00:52 3.3K
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-04-15 23:03 3.3K
[   ]nuzzle-doc-1.5-r0.apk2023-12-08 15:04 3.3K
[   ]openocd-git-doc-0_git20240113-r0.apk2024-01-17 09:37 3.3K
[   ]ccze-dev-0.2.1-r1.apk2022-09-07 22:39 3.3K
[   ]openocd-riscv-doc-0_git20230104-r1.apk2023-05-03 13:10 3.3K
[   ]fastd-doc-22-r3.apk2023-10-22 06:18 3.3K
[   ]treecat-doc-1.0.2_git20231128-r1.apk2024-02-07 21:40 3.3K
[   ]pick-doc-4.0.0-r0.apk2023-05-18 12:47 3.3K
[   ]compiz-utils-0.9.14.2-r5.apk2024-05-20 09:34 3.3K
[   ]cpuburn-1.4a_git20160316-r2.apk2022-10-28 17:20 3.3K
[   ]py3-log-symbols-0.0.14-r4.apk2024-04-15 23:03 3.3K
[   ]f_scripts-f_audio-0.6-r0.apk2024-05-29 18:24 3.3K
[   ]netscanner-doc-0.5.1-r1.apk2024-06-03 20:39 3.3K
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-01-12 14:36 3.3K
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-04-15 23:03 3.3K
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-04-15 23:03 3.3K
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-04-15 23:03 3.3K
[   ]sentrypeer-doc-3.0.2-r0.apk2023-12-23 13:59 3.3K
[   ]ssss-doc-0.5.7-r0.apk2021-10-29 08:42 3.3K
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2023-07-04 00:52 3.3K
[   ]click-doc-0.5.2-r1.apk2024-04-15 23:03 3.4K
[   ]noice-doc-0.8-r1.apk2022-10-28 17:21 3.4K
[   ]rezolus-doc-2.11.1-r3.apk2023-05-24 16:04 3.4K
[   ]zita-resampler-dev-1.10.1-r0.apk2023-03-18 22:44 3.4K
[   ]openocd-git-udev-rules-0_git20240113-r0.apk2024-01-17 09:37 3.4K
[   ]cocogitto-fish-completion-6.1.0-r0.apk2024-03-15 13:14 3.4K
[   ]deblob-doc-0.7-r0.apk2024-03-30 07:38 3.4K
[   ]openocd-esp32-udev-rules-0_git20230921-r4.apk2023-12-06 07:54 3.4K
[   ]httpie-oauth-1.0.2-r9.apk2024-04-15 23:03 3.4K
[   ]solarus-engine-doc-1.7.0-r0.apk2023-11-22 17:24 3.4K
[   ]lomiri-url-dispatcher-dev-0.1.3-r1.apk2024-04-15 23:03 3.4K
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r0.apk2022-10-13 22:58 3.4K
[   ]pam_mount-dev-2.20-r0.apk2023-12-23 13:59 3.4K
[   ]lua-fn-0.1.0-r0.apk2022-08-15 17:13 3.4K
[   ]pixiewps-doc-1.4.2-r1.apk2022-07-26 07:59 3.4K
[   ]perl-ppi-xs-doc-0.910-r0.apk2024-02-24 13:59 3.4K
[   ]octoprint-creality2xfix-pyc-0.0.4-r1.apk2024-04-16 02:38 3.4K
[   ]perl-scalar-readonly-doc-0.03-r0.apk2024-03-09 07:50 3.4K
[   ]perl-string-crc32-doc-2.100-r3.apk2023-07-04 00:52 3.4K
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2_alpha2-r0.apk2024-05-31 08:16 3.4K
[   ]laze-doc-0.1.21-r0.apk2024-02-13 23:22 3.4K
[   ]rio-terminfo-0.0.36-r0.apk2024-03-15 13:04 3.4K
[   ]perl-net-mqtt-simple-ssl-1.29-r0.apk2024-04-16 19:38 3.4K
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-01-14 13:53 3.4K
[   ]openocd-esp32-dev-0_git20230921-r4.apk2023-12-06 07:54 3.4K
[   ]perl-test-checkdeps-doc-0.010-r0.apk2024-01-03 19:23 3.4K
[   ]wl-clipboard-x11-5-r3.apk2022-10-28 17:21 3.4K
[   ]lzfse-dev-1.0-r0.apk2022-09-17 11:41 3.4K
[   ]perl-curry-doc-2.000001-r0.apk2024-01-15 21:58 3.4K
[   ]libideviceactivation-dev-1.1.1-r4.apk2023-05-15 04:50 3.4K
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-01-12 14:36 3.4K
[   ]perl-ref-util-xs-doc-0.117-r7.apk2023-07-04 00:52 3.4K
[   ]ampy-doc-1.1.0-r5.apk2024-04-15 09:15 3.4K
[   ]openocd-git-dev-0_git20240113-r0.apk2024-01-17 09:37 3.4K
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-04-15 23:03 3.4K
[   ]hyprland-doc-0.40.0-r0.apk2024-05-21 23:52 3.4K
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-01-19 01:18 3.4K
[   ]perl-http-thin-doc-0.006-r0.apk2024-01-13 14:37 3.4K
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-01-15 21:58 3.4K
[   ]lsd-zsh-completion-1.1.1-r0.apk2024-03-26 00:18 3.5K
[   ]stgit-vim-1.3-r5.apk2024-04-15 23:03 3.5K
[   ]py3-infinity-1.5-r5.apk2024-04-15 23:03 3.5K
[   ]dfl-login1-dev-0.2.0-r0.apk2023-12-30 14:27 3.5K
[   ]libdatrie-doc-0.2.13-r0.apk2022-01-27 01:31 3.5K
[   ]libjodycode-doc-3.1-r1.apk2023-07-30 00:01 3.5K
[   ]libuninameslist-dev-20230916-r0.apk2023-09-18 06:50 3.5K
[   ]perl-string-camelcase-doc-0.04-r2.apk2023-07-04 00:52 3.5K
[   ]bcg729-dev-1.1.1-r0.apk2020-12-02 09:33 3.5K
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-04-15 09:15 3.5K
[   ]libbloom-dev-2.0-r0.apk2023-06-17 00:20 3.5K
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-04-15 23:03 3.5K
[   ]ijq-doc-1.1.0-r1.apk2024-05-19 01:28 3.5K
[   ]copyq-doc-8.0.0-r0.apk2024-03-22 08:46 3.5K
[   ]tldr-python-client-doc-3.2.0-r1.apk2024-04-15 23:03 3.5K
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-01-15 21:58 3.5K
[   ]perl-test-checkdeps-0.010-r0.apk2024-01-03 19:23 3.5K
[   ]enlighten-doc-0.9.2-r1.apk2022-10-28 17:20 3.5K
[   ]aptdec-dev-1.8.0-r0.apk2023-02-22 13:07 3.5K
[   ]extrace-doc-0.9-r0.apk2024-04-18 22:07 3.5K
[   ]perl-test-randomresult-0.001-r0.apk2024-04-16 13:30 3.5K
[   ]rgxg-dev-0.1.2-r2.apk2023-05-15 18:46 3.5K
[   ]shellinabox-openrc-2.21-r3.apk2023-05-15 18:46 3.5K
[   ]libqb-tools-2.0.8-r0.apk2023-08-03 18:08 3.5K
[   ]nvtop-doc-3.1.0-r0.apk2024-02-24 13:59 3.5K
[   ]py3-uv-pyc-0.2.6-r0.apk2024-06-05 23:16 3.5K
[   ]kabmat-doc-2.7.0-r0.apk2023-05-07 08:38 3.5K
[   ]otpclient-doc-3.2.1-r1.apk2023-11-15 17:50 3.5K
[   ]perl-proc-guard-doc-0.07-r4.apk2024-01-04 02:10 3.5K
[   ]twinkle-doc-1.10.3-r2.apk2023-04-30 23:31 3.6K
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-01-15 21:58 3.6K
[   ]snapper-zsh-completion-0.11.0-r0.apk2024-05-14 14:12 3.6K
[   ]opensmtpd-filter-dkimsign-doc-0.6-r1.apk2022-08-04 23:46 3.6K
[   ]py3-allfiles-1.0-r8.apk2024-04-15 23:03 3.6K
[   ]steamguard-cli-zsh-completion-0.9.6-r0.apk2023-07-03 00:04 3.6K
[   ]ffsend-fish-completion-0.2.76-r4.apk2023-07-03 00:01 3.6K
[   ]cutechess-doc-1.3.1-r0.apk2023-09-24 20:36 3.6K
[   ]logc-libevent-0.1.0-r0.apk2023-11-18 18:32 3.6K
[   ]perl-check-unitcheck-doc-0.13-r0.apk2024-01-17 13:12 3.6K
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r0.apk2022-08-13 17:53 3.6K
[   ]doasedit-1.0.7-r0.apk2024-02-13 18:44 3.6K
[   ]mpvpaper-doc-1.5-r0.apk2024-05-04 15:42 3.6K
[   ]wireguard-go-doc-0.0.20230223-r5.apk2024-05-19 01:29 3.6K
[   ]perl-test-describeme-0.004-r0.apk2024-04-16 19:38 3.6K
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-03-23 21:49 3.6K
[   ]py3-flake8-todo-0.7-r7.apk2024-04-15 23:03 3.6K
[   ]gsettings-qt-dev-0.2_git20220807-r0.apk2023-11-06 18:36 3.6K
[   ]dfl-ipc-dev-0.2.0-r0.apk2023-12-30 14:27 3.6K
[   ]lua-resty-upload-0.11-r0.apk2023-03-17 08:30 3.6K
[   ]ffsend-bash-completion-0.2.76-r4.apk2023-07-03 00:01 3.6K
[   ]rattler-build-bash-completion-0.16.2-r0.apk2024-05-24 23:41 3.6K
[   ]pacparser-dev-1.4.3-r1.apk2024-04-15 23:03 3.6K
[   ]perl-bind-config-parser-doc-0.01-r5.apk2023-07-04 00:52 3.6K
[   ]swappy-lang-1.5.1-r0.apk2022-11-21 23:19 3.6K
[   ]release-plz-fish-completion-0.3.70-r0.apk2024-05-28 06:48 3.6K
[   ]maddy-vim-0.7.1-r3.apk2024-05-19 01:28 3.6K
[   ]py3-ecos-pyc-2.0.11-r3.apk2024-04-15 23:03 3.6K
[   ]swig3-doc-3.0.12-r3.apk2024-04-22 19:58 3.6K
[   ]ircd-hybrid-doc-8.2.43-r0.apk2023-06-17 00:19 3.7K
[   ]pulseview-doc-0.4.2-r8.apk2024-04-22 19:58 3.7K
[   ]py3-pydes-doc-2.0.1-r4.apk2024-04-15 09:15 3.7K
[   ]ouch-doc-0.5.1-r0.apk2023-12-24 18:13 3.7K
[   ]wok-doc-3.0.0-r6.apk2024-04-15 23:03 3.7K
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-04-15 23:03 3.7K
[   ]perl-role-eventemitter-0.003-r0.apk2024-01-13 14:37 3.7K
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-04-16 13:30 3.7K
[   ]perl-proc-guard-0.07-r4.apk2024-01-04 02:10 3.7K
[   ]swappy-doc-1.5.1-r0.apk2022-11-21 23:19 3.7K
[   ]rdrview-doc-0.1.1-r0.apk2024-03-05 01:48 3.7K
[   ]kind-zsh-completion-0.22.0-r3.apk2024-05-19 01:28 3.7K
[   ]wsmancli-doc-2.6.2-r0.apk2023-01-12 17:03 3.7K
[   ]py3-flask-accept-pyc-0.0.6-r1.apk2024-04-15 23:03 3.7K
[   ]warpinator-nemo-1.8.3-r0.apk2024-02-10 09:40 3.7K
[   ]mdp-doc-1.0.15-r1.apk2022-10-28 17:21 3.7K
[   ]hub-zsh-completion-2.14.2-r23.apk2024-05-19 01:28 3.7K
[   ]perl-sys-syscall-doc-0.25-r9.apk2024-01-04 02:10 3.7K
[   ]sct-2018.12.18-r1.apk2021-10-28 22:50 3.7K
[   ]ticker-zsh-completion-4.5.14-r6.apk2024-05-19 01:29 3.7K
[   ]dropwatch-doc-1.5.4-r4.apk2024-03-01 16:16 3.7K
[   ]laminar-doc-1.3-r4.apk2024-04-22 19:58 3.7K
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2020-12-10 20:09 3.7K
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-04-15 23:03 3.7K
[   ]hexdiff-doc-0.0.53-r2.apk2022-10-28 17:20 3.7K
[   ]ocp-indent-emacs-1.8.2-r2.apk2024-03-23 21:50 3.7K
[   ]pebble-le-doc-0.3.0-r1.apk2024-04-22 19:58 3.7K
[   ]authenticator-rs-lang-0.7.5-r0.apk2023-05-29 23:09 3.7K
[   ]py3-toposort-pyc-1.10-r3.apk2024-04-15 23:03 3.7K
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-04-15 23:03 3.7K
[   ]perl-feed-find-doc-0.13-r0.apk2024-01-14 16:55 3.7K
[   ]perl-sort-versions-1.62-r0.apk2024-02-10 02:02 3.7K
[   ]rauc-service-1.10.1-r0.apk2023-08-08 19:38 3.7K
[   ]cargo-shuttle-bash-completion-0.45.0-r0.apk2024-05-14 02:00 3.7K
[   ]py3-ovos-ocp-rss-plugin-pyc-0.0.2-r1.apk2024-04-15 23:03 3.7K
[   ]openocd-riscv-dev-0_git20230104-r1.apk2023-05-03 13:10 3.7K
[   ]py3-infinity-pyc-1.5-r5.apk2024-04-15 23:03 3.8K
[   ]volumeicon-lang-0.5.1-r1.apk2022-10-28 17:21 3.8K
[   ]perl-term-size-doc-0.211-r3.apk2023-07-04 00:52 3.8K
[   ]autorandr-doc-1.15-r0.apk2024-03-16 13:36 3.8K
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-01-19 01:18 3.8K
[   ]fcitx5-lua-lang-5.0.13-r0.apk2024-05-10 05:34 3.8K
[   ]libsds-dev-2.0.0-r1.apk2020-12-16 08:27 3.8K
[   ]tuptime-doc-5.2.2-r3.apk2023-07-03 00:04 3.8K
[   ]finger-doc-0.5-r0.apk2024-03-09 10:11 3.8K
[   ]perl-math-random-isaac-xs-doc-1.004-r7.apk2023-07-04 00:52 3.8K
[   ]perl-test2-tools-explain-0.02-r0.apk2024-03-09 07:50 3.8K
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2023-07-04 00:52 3.8K
[   ]perl-datetime-format-atom-doc-1.6.0-r0.apk2024-01-22 12:51 3.8K
[   ]perl-test-useallmodules-0.17-r1.apk2023-07-04 00:52 3.8K
[   ]innernet-bash-completion-1.6.1-r0.apk2024-02-23 20:45 3.8K
[   ]dumb_runtime_dir-1.0.4-r2.apk2023-10-05 10:29 3.8K
[   ]nvim-cmp-path-0.0.0_git20221002-r0.apk2022-10-13 22:58 3.8K
[   ]perl-time-timegm-doc-0.01-r8.apk2023-07-04 00:52 3.8K
[   ]rage-doc-0.9.2-r1.apk2023-07-03 00:03 3.8K
[   ]splitter-doc-0.3.0-r0.apk2024-02-25 21:38 3.8K
[   ]csol-doc-1.6.0-r0.apk2023-03-16 02:55 3.8K
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-01-14 13:53 3.8K
[   ]primecount-doc-7.13-r0.apk2024-05-01 19:11 3.9K
[   ]kind-fish-completion-0.22.0-r3.apk2024-05-19 01:28 3.9K
[   ]foolsm-doc-1.0.21-r0.apk2022-05-21 14:41 3.9K
[   ]perl-feed-find-0.13-r0.apk2024-01-14 16:55 3.9K
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-04-15 23:03 3.9K
[   ]perl-test-useallmodules-doc-0.17-r1.apk2023-07-04 00:52 3.9K
[   ]perl-context-preserve-0.03-r4.apk2023-07-04 00:52 3.9K
[   ]emacs-avy-embark-collect-1.0_git20240327-r0.apk2024-04-09 16:44 3.9K
[   ]pwauth-2.3.11-r2.apk2022-10-28 17:21 3.9K
[   ]ticker-fish-completion-4.5.14-r6.apk2024-05-19 01:29 3.9K
[   ]perl-bind-config-parser-0.01-r5.apk2023-07-04 00:52 3.9K
[   ]perl-plack-middleware-expires-0.06-r3.apk2023-07-04 00:52 3.9K
[   ]py3-nanoid-pyc-2.0.0-r2.apk2024-04-15 23:03 3.9K
[   ]py3-stringcase-pyc-1.2.0-r8.apk2024-04-15 09:15 3.9K
[   ]netsurf-framebuffer-doc-3.11-r0.apk2024-02-03 14:33 3.9K
[   ]virtctl-fish-completion-1.2.0-r1.apk2024-05-19 01:29 3.9K
[   ]perl-uri-nested-doc-0.10-r0.apk2024-01-12 14:36 3.9K
[   ]glow-fish-completion-1.5.1-r7.apk2024-05-19 01:28 3.9K
[   ]tncattach-doc-0.1.9-r1.apk2022-10-28 17:21 3.9K
[   ]mangal-fish-completion-4.0.6-r11.apk2024-05-19 01:28 3.9K
[   ]rbw-zsh-completion-1.10.0-r0.apk2024-04-25 03:17 3.9K
[   ]z-doc-1.12-r0.apk2023-12-11 02:13 3.9K
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-04-15 23:03 3.9K
[   ]mml-doc-1.0.0-r0.apk2023-11-12 13:21 3.9K
[   ]git-bug-fish-completion-0.8.0-r12.apk2024-05-19 01:28 3.9K
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-01-14 13:53 3.9K
[   ]py3-flask-components-0.1.1-r9.apk2024-04-15 23:03 3.9K
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2023-04-24 15:20 3.9K
[   ]libiml-dev-1.0.5-r3.apk2023-08-01 17:19 3.9K
[   ]ocaml-menhir-doc-20220210-r2.apk2024-03-23 21:49 3.9K
[   ]perl-class-inner-0.200001-r5.apk2023-12-17 23:58 3.9K
[   ]perl-types-path-tiny-0.006-r0.apk2024-01-13 14:37 3.9K
[   ]perl-log-message-simple-doc-0.10-r3.apk2023-07-04 00:52 4.0K
[   ]cargo-machete-doc-0.6.2-r0.apk2024-03-24 15:48 4.0K
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-01-13 14:37 4.0K
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-04-15 23:03 4.0K
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2023-07-04 00:52 4.0K
[   ]py3-flask-cdn-1.5.3-r7.apk2024-04-15 23:03 4.0K
[   ]glow-zsh-completion-1.5.1-r7.apk2024-05-19 01:28 4.0K
[   ]kubeone-zsh-completion-1.7.4-r1.apk2024-05-19 01:28 4.0K
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2023-11-06 18:37 4.0K
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-04-15 23:03 4.0K
[   ]virtctl-zsh-completion-1.2.0-r1.apk2024-05-19 01:29 4.0K
[   ]k3sup-zsh-completion-0.13.5-r4.apk2024-05-23 15:54 4.0K
[   ]mangal-zsh-completion-4.0.6-r11.apk2024-05-19 01:28 4.0K
[   ]git-bug-zsh-completion-0.8.0-r12.apk2024-05-19 01:28 4.0K
[   ]kbs2-zsh-completion-0.7.2-r3.apk2023-07-30 00:01 4.0K
[   ]xfe-doc-1.46.1-r0.apk2024-03-05 01:48 4.0K
[   ]cowsay-doc-3.04-r2.apk2022-10-28 17:20 4.0K
[   ]ko-zsh-completion-0.15.2-r2.apk2024-05-19 01:28 4.0K
[   ]perl-uri-nested-0.10-r0.apk2024-01-12 14:36 4.0K
[   ]buf-zsh-completion-1.31.0-r1.apk2024-05-19 01:28 4.0K
[   ]seed7-vim-05.20240322-r0.apk2024-03-24 14:17 4.0K
[   ]opa-zsh-completion-0.61.0-r3.apk2024-05-19 01:28 4.0K
[   ]godap-zsh-completion-2.5.0-r0.apk2024-05-23 23:50 4.0K
[   ]atlas-zsh-completion-0.22.0-r1.apk2024-05-19 01:28 4.0K
[   ]kumactl-zsh-completion-2.7.2-r1.apk2024-05-19 01:28 4.0K
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-04-15 23:03 4.0K
[   ]topgit-bash-completion-0.19.13-r1.apk2022-10-28 17:21 4.0K
[   ]wgcf-zsh-completion-2.2.22-r1.apk2024-05-19 01:29 4.0K
[   ]zot-cli-zsh-completion-2.0.4-r2.apk2024-05-19 01:29 4.0K
[   ]knative-client-zsh-completion-1.14.0-r1.apk2024-05-19 01:28 4.0K
[   ]oras-cli-zsh-completion-1.1.0-r3.apk2024-05-19 01:28 4.0K
[   ]cilium-cli-zsh-completion-0.16.6-r1.apk2024-05-19 01:28 4.0K
[   ]regal-zsh-completion-0.21.3-r1.apk2024-05-19 01:28 4.0K
[   ]welle-io-doc-2.4-r5.apk2024-04-24 22:49 4.0K
[   ]stern-zsh-completion-1.29.0-r1.apk2024-05-19 01:29 4.0K
[   ]flux-zsh-completion-2.2.3-r3.apk2024-05-19 01:28 4.0K
[   ]helmfile-zsh-completion-0.162.0-r3.apk2024-05-19 01:28 4.0K
[   ]shfm-0.4.2-r1.apk2022-10-28 17:21 4.0K
[   ]primesieve-doc-12.3-r0.apk2024-05-01 19:11 4.0K
[   ]upterm-zsh-completion-0.13.5-r1.apk2024-05-19 01:29 4.0K
[   ]hubble-cli-zsh-completion-0.13.3-r1.apk2024-05-19 01:28 4.0K
[   ]kubepug-zsh-completion-1.7.1-r3.apk2024-05-19 01:28 4.0K
[   ]py3-preggy-doc-1.4.4-r4.apk2024-04-15 23:03 4.0K
[   ]admesh-dev-0.98.5-r0.apk2022-12-06 12:04 4.0K
[   ]tetragon-client-zsh-completion-1.1.0-r1.apk2024-05-19 01:29 4.0K
[   ]virter-zsh-completion-0.27.0-r1.apk2024-05-19 01:29 4.0K
[   ]mcqd-dev-1.0.0-r1.apk2023-08-01 17:19 4.0K
[   ]hare-scfg-0.24.0-r0.apk2024-04-17 17:28 4.0K
[   ]headscale-zsh-completion-0.22.3-r7.apk2024-05-19 01:28 4.1K
[   ]zita-resampler-doc-1.10.1-r0.apk2023-03-18 22:44 4.1K
[   ]perl-lv-doc-0.006-r0.apk2024-01-19 00:46 4.1K
[   ]py3-pacparser-pyc-1.4.3-r1.apk2024-04-15 23:03 4.1K
[   ]sing-box-zsh-completion-1.8.4-r4.apk2024-05-19 01:29 4.1K
[   ]colormake-0.9.20170221-r0.apk2017-10-01 09:52 4.1K
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-02-24 13:59 4.1K
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-04-15 23:03 4.1K
[   ]perl-lv-0.006-r0.apk2024-01-19 00:46 4.1K
[   ]perl-test-redisserver-doc-0.23-r0.apk2024-01-17 13:17 4.1K
[   ]perl-net-libresolv-doc-0.03-r0.apk2023-12-30 12:58 4.1K
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-04-15 23:03 4.1K
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2023-07-04 00:52 4.1K
[   ]heh-doc-0.5.0-r0.apk2024-04-11 02:39 4.1K
[   ]perl-future-queue-0.52-r0.apk2024-01-15 21:58 4.1K
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-01-13 14:37 4.1K
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-04-15 23:03 4.1K
[   ]cargo-shuttle-fish-completion-0.45.0-r0.apk2024-05-14 02:00 4.1K
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-04-15 23:03 4.1K
[   ]way-displays-doc-1.8.1-r2.apk2023-09-11 11:21 4.1K
[   ]alsa-ucm-conf-asahi-5-r0.apk2023-12-31 12:44 4.1K
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-05-31 08:16 4.1K
[   ]py3-flask-cdn-pyc-1.5.3-r7.apk2024-04-15 23:03 4.1K
[   ]agrep-doc-0.8.0-r2.apk2023-05-15 18:45 4.1K
[   ]perl-class-inner-doc-0.200001-r5.apk2023-12-17 23:58 4.1K
[   ]cgo-doc-0.6.1-r1.apk2022-10-28 17:20 4.1K
[   ]megatools-bash-completion-1.11.1.20230212-r1.apk2023-03-20 18:04 4.1K
[   ]makeclapman-doc-2.4.1-r1.apk2024-05-19 01:28 4.1K
[   ]release-plz-doc-0.3.70-r0.apk2024-05-28 06:48 4.1K
[   ]dfl-applications-dev-0.2.0-r0.apk2023-12-30 14:27 4.1K
[   ]dfl-sni-dev-0.2.0-r0.apk2023-12-30 14:27 4.1K
[   ]mint-y-theme-2.1.1-r0.apk2023-06-17 00:20 4.1K
[   ]w_scan2-doc-1.0.15-r0.apk2024-01-04 09:02 4.1K
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-04-15 23:03 4.1K
[   ]perl-text-brew-doc-0.02-r5.apk2023-07-04 00:52 4.1K
[   ]perl-sort-versions-doc-1.62-r0.apk2024-02-10 02:02 4.1K
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-01-04 20:38 4.1K
[   ]ovn-openrc-24.03.1-r0.apk2024-04-12 08:15 4.1K
[   ]docbook2mdoc-doc-1.1.0-r1.apk2022-10-28 17:20 4.2K
[   ]py3-untokenize-0.1.1-r3.apk2024-04-15 23:03 4.2K
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-01-14 13:53 4.2K
[   ]igrep-doc-1.2.0-r0.apk2023-08-09 17:40 4.2K
[   ]libirecovery-dev-1.1.0-r0.apk2023-05-27 13:04 4.2K
[   ]checkpolicy-doc-3.6-r0.apk2024-01-08 10:42 4.2K
[   ]rauc-doc-1.10.1-r0.apk2023-08-08 19:38 4.2K
[   ]perl-clone-pp-doc-1.08-r1.apk2023-07-04 00:52 4.2K
[   ]xvidtune-doc-1.0.4-r0.apk2023-02-05 01:37 4.2K
[   ]uxn-doc-1.0-r0.apk2024-03-23 20:25 4.2K
[   ]perl-test-describeme-doc-0.004-r0.apk2024-04-16 19:38 4.2K
[   ]a2jmidid-doc-9-r3.apk2022-08-01 08:53 4.2K
[   ]hikari-unlocker-2.3.3-r6.apk2024-02-02 22:16 4.2K
[   ]otrs-apache2-6.0.48-r1.apk2024-01-15 10:37 4.2K
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2023-07-04 00:52 4.2K
[   ]perl-datetime-format-rfc3339-doc-1.8.0-r0.apk2024-01-22 12:51 4.2K
[   ]xisxwayland-2-r1.apk2023-07-30 00:01 4.2K
[   ]perl-ev-hiredis-doc-0.07-r0.apk2024-01-17 13:17 4.2K
[   ]perl-sentinel-doc-0.07-r0.apk2024-01-19 00:46 4.2K
[   ]firewalld-bash-completion-2.1.2-r0.apk2024-04-15 09:15 4.2K
[   ]flightgear-bash-completion-2020.3.19-r1.apk2024-04-22 19:58 4.2K
[   ]py3-django-js-asset-pyc-2.2-r2.apk2024-04-15 23:03 4.2K
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r0.apk2022-10-13 22:58 4.2K
[   ]perl-i18n-langinfo-wide-9-r4.apk2023-07-04 00:52 4.2K
[   ]river-fish-completion-0.3.2-r0.apk2024-05-31 00:34 4.2K
[   ]libjodycode-dev-3.1-r1.apk2023-07-30 00:01 4.2K
[   ]py3-mando-doc-0.7.1-r2.apk2024-04-15 23:03 4.2K
[   ]release-plz-zsh-completion-0.3.70-r0.apk2024-05-28 06:48 4.2K
[   ]perl-context-preserve-doc-0.03-r4.apk2023-07-04 00:52 4.2K
[   ]perl-log-message-simple-0.10-r3.apk2023-07-04 00:52 4.2K
[   ]rustypaste-cli-doc-0.9.0-r0.apk2024-03-27 23:55 4.2K
[   ]perl-file-mmagic-xs-doc-0.09008-r3.apk2023-07-04 00:52 4.2K
[   ]tnef-doc-1.4.18-r0.apk2024-03-30 18:37 4.2K
[   ]py3-fastdiff-pyc-0.3.0-r4.apk2024-04-15 23:03 4.2K
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-04-15 23:03 4.2K
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2023-07-03 00:04 4.2K
[   ]alarmwakeup-utils-0.2.1-r0.apk2023-10-06 07:49 4.2K
[   ]perl-clone-choose-doc-0.010-r4.apk2023-07-04 00:52 4.2K
[   ]perl-test-api-doc-0.010-r2.apk2023-07-04 00:52 4.2K
[   ]py3-visitor-0.1.3-r6.apk2024-04-15 23:03 4.2K
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-04-15 23:03 4.2K
[   ]colorpicker-0_git20201128-r1.apk2022-10-28 17:20 4.3K
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-04-15 23:03 4.3K
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-04-15 23:03 4.3K
[   ]k3sup-fish-completion-0.13.5-r4.apk2024-05-23 15:54 4.3K
[   ]powerstat-doc-0.04.01-r0.apk2024-01-03 13:58 4.3K
[   ]perl-devel-refcount-doc-0.10-r0.apk2024-01-17 13:17 4.3K
[   ]perl-future-queue-doc-0.52-r0.apk2024-01-15 21:58 4.3K
[   ]logc-czmq-0.1.0-r0.apk2023-11-18 18:32 4.3K
[   ]py3-bottle-pgsql-0.2-r5.apk2024-04-15 23:03 4.3K
[   ]ko-fish-completion-0.15.2-r2.apk2024-05-19 01:28 4.3K
[   ]pash-2.3.0-r2.apk2022-10-28 17:21 4.3K
[   ]libaudec-dev-0.3.4-r3.apk2023-07-30 00:01 4.3K
[   ]gmenuharness-dev-0.1.4-r0.apk2023-11-06 18:36 4.3K
[   ]scrypt-doc-1.3.2-r0.apk2023-10-03 11:43 4.3K
[   ]rankwidth-static-0.9-r3.apk2023-08-01 17:19 4.3K
[   ]buf-fish-completion-1.31.0-r1.apk2024-05-19 01:28 4.3K
[   ]qpdfview-doc-0.5-r0.apk2023-02-13 15:20 4.3K
[   ]perl-dbix-datasource-0.02-r5.apk2023-07-04 00:52 4.3K
[   ]godap-fish-completion-2.5.0-r0.apk2024-05-23 23:50 4.3K
[   ]libdng-doc-0.1.1-r0.apk2024-01-21 23:37 4.3K
[   ]flux-fish-completion-2.2.3-r3.apk2024-05-19 01:28 4.3K
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-05-09 00:37 4.3K
[   ]opa-fish-completion-0.61.0-r3.apk2024-05-19 01:28 4.3K
[   ]oras-cli-fish-completion-1.1.0-r3.apk2024-05-19 01:28 4.3K
[   ]zot-cli-fish-completion-2.0.4-r2.apk2024-05-19 01:29 4.3K
[   ]perl-musicbrainz-discid-doc-0.06-r0.apk2023-08-22 19:28 4.3K
[   ]wgcf-fish-completion-2.2.22-r1.apk2024-05-19 01:29 4.3K
[   ]atlas-fish-completion-0.22.0-r1.apk2024-05-19 01:28 4.3K
[   ]kumactl-fish-completion-2.7.2-r1.apk2024-05-19 01:28 4.3K
[   ]stern-fish-completion-1.29.0-r1.apk2024-05-19 01:29 4.3K
[   ]headscale-fish-completion-0.22.3-r7.apk2024-05-19 01:28 4.3K
[   ]regal-fish-completion-0.21.3-r1.apk2024-05-19 01:28 4.3K
[   ]cilium-cli-fish-completion-0.16.6-r1.apk2024-05-19 01:28 4.3K
[   ]helmfile-fish-completion-0.162.0-r3.apk2024-05-19 01:28 4.3K
[   ]tetragon-client-fish-completion-1.1.0-r1.apk2024-05-19 01:29 4.3K
[   ]virter-fish-completion-0.27.0-r1.apk2024-05-19 01:29 4.3K
[   ]hubble-cli-fish-completion-0.13.3-r1.apk2024-05-19 01:28 4.3K
[   ]kubepug-fish-completion-1.7.1-r3.apk2024-05-19 01:28 4.3K
[   ]lua5.2-editorconfig-0.3.0-r0.apk2021-04-12 16:26 4.3K
[   ]xsane-doc-0.999-r1.apk2022-12-16 08:48 4.3K
[   ]perl-net-mqtt-trace-1.163170-r0.apk2024-04-16 19:38 4.3K
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-04-15 23:03 4.3K
[   ]sing-box-fish-completion-1.8.4-r4.apk2024-05-19 01:29 4.3K
[   ]godot-doc-4.1.3-r1.apk2024-04-15 09:15 4.4K
[   ]kompose-fish-completion-1.31.2-r3.apk2024-05-19 01:28 4.4K
[   ]py3-daterangestr-0.0.3-r8.apk2024-04-15 23:03 4.4K
[   ]lua5.4-editorconfig-0.3.0-r0.apk2021-04-12 16:26 4.4K
[   ]eatmemory-0.1.6-r2.apk2022-10-28 17:20 4.4K
[   ]lua5.3-editorconfig-0.3.0-r0.apk2021-04-12 16:26 4.4K
[   ]gambit-doc-4.9.5-r0.apk2024-01-21 14:57 4.4K
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-04-15 23:03 4.4K
[   ]spread-sheet-widget-doc-0.8-r0.apk2021-11-13 23:25 4.4K
[   ]netsurf-doc-3.11-r0.apk2024-02-03 14:33 4.4K
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-01-12 14:36 4.4K
[   ]py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk2024-05-31 08:16 4.4K
[   ]kanister-tools-zsh-completion-0.107.0-r1.apk2024-05-19 01:28 4.4K
[   ]qsynth-doc-0.9.13-r0.apk2024-02-03 21:58 4.4K
[   ]perl-number-misc-doc-1.2-r5.apk2023-10-17 13:18 4.4K
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2023-07-04 00:52 4.4K
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-02-05 17:09 4.4K
[   ]py3-hurry.filesize-0.9-r7.apk2024-04-15 23:03 4.4K
[   ]py3-remind-pyc-0.18.0-r3.apk2024-04-15 23:03 4.4K
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-04-15 23:03 4.4K
[   ]nvimpager-doc-0.12.0-r0.apk2023-07-03 00:03 4.4K
[   ]youki-dbg-0.3.1-r1.apk2024-06-03 20:44 4.4K
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-03-09 07:50 4.4K
[   ]setroot-doc-2.0.2-r1.apk2022-10-28 17:21 4.4K
[   ]perl-datetime-format-rfc3339-1.8.0-r0.apk2024-01-22 12:51 4.4K
[   ]zrepl-bash-completion-0.6.1-r4.apk2024-05-19 01:29 4.4K
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-04-15 23:03 4.5K
[   ]py3-cjkwrap-2.2-r3.apk2024-04-15 23:03 4.5K
[   ]libwhich-1.2.0-r0.apk2022-11-26 02:29 4.5K
[   ]ocaml-stdlib-shims-0.3.0-r2.apk2024-03-23 21:50 4.5K
[   ]py3-ask-pyc-0.0.8-r8.apk2024-04-15 23:03 4.5K
[   ]speedtest-go-doc-1.1.5-r8.apk2024-05-19 01:29 4.5K
[   ]paperkey-doc-1.6-r2.apk2023-12-19 15:55 4.5K
[   ]flauschige-uhr-0.1-r1.apk2022-10-28 17:20 4.5K
[   ]perl-anyevent-mqtt-monitor-1.212810-r0.apk2024-04-16 19:38 4.5K
[   ]py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk2024-05-31 08:16 4.5K
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-04-15 23:03 4.5K
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2022-10-28 17:21 4.5K
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-04-15 23:03 4.5K
[   ]iprange-doc-1.0.4-r1.apk2022-10-28 17:20 4.5K
[   ]innernet-fish-completion-1.6.1-r0.apk2024-02-23 20:45 4.5K
[   ]perl-text-brew-0.02-r5.apk2023-07-04 00:52 4.5K
[   ]base64c-0.2.1-r0.apk2023-11-18 18:32 4.5K
[   ]gr-satellites-doc-5.5.0-r1.apk2024-04-29 18:49 4.5K
[   ]fuzzylite-6.0-r0.apk2023-04-17 14:06 4.5K
[   ]perl-sql-abstract-pg-doc-1.0-r0.apk2024-01-12 14:36 4.5K
[   ]ticker-bash-completion-4.5.14-r6.apk2024-05-19 01:29 4.6K
[   ]gufw-doc-24.04-r1.apk2024-04-15 23:03 4.6K
[   ]slidge-doc-0.1.0-r1.apk2024-04-15 23:03 4.6K
[   ]telegram-tdlib-doc-1.8.9-r1.apk2023-05-15 18:46 4.6K
[   ]ffsend-zsh-completion-0.2.76-r4.apk2023-07-03 00:01 4.6K
[   ]perl-pod-cpandoc-0.16-r6.apk2023-07-04 00:52 4.6K
[   ]perl-uri-redis-doc-0.02-r0.apk2024-01-15 21:58 4.6K
[   ]perl-clone-pp-1.08-r1.apk2023-07-04 00:52 4.6K
[   ]alarmwakeup-libs-0.2.1-r0.apk2023-10-06 07:49 4.6K
[   ]perl-anyevent-mocktcpserver-doc-1.172150-r0.apk2024-04-16 19:38 4.6K
[   ]envsubst-0.1-r1.apk2022-06-28 07:28 4.6K
[   ]opkg-utils-doc-0.4.5-r1.apk2022-10-28 17:21 4.6K
[   ]perl-clone-choose-0.010-r4.apk2023-07-04 00:52 4.6K
[   ]apache2-mod-realdoc-1-r1.apk2019-03-04 21:17 4.6K
[   ]hub-bash-completion-2.14.2-r23.apk2024-05-19 01:28 4.6K
[   ]fnf-doc-0.1-r0.apk2024-03-09 08:45 4.6K
[   ]perl-algorithm-cron-doc-0.10-r4.apk2023-07-04 00:52 4.6K
[   ]usbguard-bash-completion-1.1.2-r8.apk2024-01-03 20:29 4.6K
[   ]tomcat9-openrc-9.0.89-r0.apk2024-05-08 14:19 4.6K
[   ]z-1.12-r0.apk2023-12-11 02:13 4.6K
[   ]manticore-dev-6.2.12-r1.apk2024-05-24 04:46 4.6K
[   ]sentinel-proxy-dev-2.1.0-r0.apk2023-11-18 18:32 4.6K
[   ]ry-0.5.2-r1.apk2022-10-28 17:21 4.6K
[   ]wiki-tui-doc-0.8.2-r0.apk2023-08-12 07:59 4.6K
[   ]codeberg-cli-fish-completion-0.4.0-r0.apk2024-05-03 01:34 4.6K
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-01-14 13:53 4.6K
[   ]apt-mirror-doc-0.5.4-r0.apk2023-01-05 23:23 4.6K
[   ]ruby-build-doc-20240423-r0.apk2024-05-01 00:03 4.6K
[   ]river-zsh-completion-0.3.2-r0.apk2024-05-31 00:34 4.6K
[   ]surf-doc-2.1-r3.apk2024-05-13 09:58 4.6K
[   ]rattler-build-fish-completion-0.16.2-r0.apk2024-05-24 23:41 4.6K
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-04-15 23:03 4.6K
[   ]trippy-zsh-completion-0.10.0-r0.apk2024-04-06 00:45 4.6K
[   ]yaru-common-23.10.0-r0.apk2024-04-18 04:06 4.6K
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-02-14 00:31 4.7K
[   ]eboard-doc-1.1.3-r1.apk2023-07-30 00:00 4.7K
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-04-15 23:03 4.7K
[   ]quodlibet-bash-completion-4.6.0-r1.apk2024-04-15 23:03 4.7K
[   ]findtow-0.1-r0.apk2022-01-27 02:32 4.7K
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-01-14 13:53 4.7K
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-04-15 23:03 4.7K
[   ]perl-url-encode-doc-0.03-r4.apk2023-07-04 00:52 4.7K
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-04-15 23:03 4.7K
[   ]haredo-doc-1.0.4-r1.apk2024-03-16 18:42 4.7K
[   ]stgit-bash-completion-1.3-r5.apk2024-04-15 23:03 4.7K
[   ]i2util-doc-4.2.1-r1.apk2022-10-28 17:20 4.7K
[   ]ansiweather-1.19.0-r1.apk2023-07-29 23:59 4.7K
[   ]jsmn-1.1.0-r2.apk2024-01-19 18:04 4.7K
[   ]console_bridge-dev-1.0.2-r0.apk2022-11-09 00:03 4.7K
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.0.0-r1.apk2024-04-15 23:03 4.7K
[   ]snore-0.3.1-r0.apk2023-11-14 20:46 4.7K
[   ]apache-mod-auth-openidc-doc-2.4.15.7-r1.apk2024-05-07 05:38 4.7K
[   ]mkdocs-bootswatch-pyc-1.1-r4.apk2024-04-15 23:03 4.7K
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-01-12 14:36 4.7K
[   ]php82-pecl-apfd-1.0.3-r0.apk2023-12-20 17:48 4.7K
[   ]php83-pecl-apfd-1.0.3-r0.apk2023-12-20 17:48 4.7K
[   ]xmag-doc-1.0.7-r1.apk2023-08-01 17:20 4.7K
[   ]linuxptp-hwstamp_ctl-4.2-r0.apk2023-12-20 19:54 4.7K
[   ]libuecc-dev-7-r3.apk2023-10-18 18:22 4.8K
[   ]perl-perlio-locale-0.10-r11.apk2023-07-04 00:52 4.8K
[   ]octoprint-creality2xfix-0.0.4-r1.apk2024-04-16 02:38 4.8K
[   ]pdf2svg-0.2.3-r1.apk2022-10-28 17:21 4.8K
[   ]sc-im-doc-0.8.3-r0.apk2023-03-16 02:58 4.8K
[   ]hx-doc-1.0.14-r0.apk2023-11-06 18:36 4.8K
[   ]perl-test-requires-git-1.008-r0.apk2024-02-05 17:09 4.8K
[   ]py3-stringcase-1.2.0-r8.apk2024-04-15 09:15 4.8K
[   ]perl-email-reply-doc-1.204-r5.apk2023-07-04 00:52 4.8K
[   ]caps2esc-0.3.2-r0.apk2023-07-03 00:01 4.8K
[   ]sydbox-vim-3.18.4-r0.apk2024-05-08 19:55 4.8K
[   ]libcork-tools-0.15.0-r7.apk2023-12-07 16:23 4.8K
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-04-15 23:03 4.8K
[   ]hyprcursor-dev-0.1.8-r0.apk2024-05-21 23:52 4.9K
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-05-22 22:04 4.9K
[   ]py3-nanoid-2.0.0-r2.apk2024-04-15 23:03 4.9K
[   ]pastel-zsh-completion-0.9.0-r2.apk2023-07-03 00:03 4.9K
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-02-05 17:09 4.9K
[   ]ecm-dev-7.0.5-r1.apk2023-05-15 18:45 4.9K
[   ]py3-bottle-api-0.0.4-r7.apk2024-04-15 23:03 4.9K
[   ]horizon-dev-0.9.6-r9.apk2024-04-22 19:58 4.9K
[   ]codeberg-cli-bash-completion-0.4.0-r0.apk2024-05-03 01:34 4.9K
[   ]ngs-vim-0.2.14-r0.apk2022-10-09 00:04 4.9K
[   ]kanister-tools-fish-completion-0.107.0-r1.apk2024-05-19 01:28 4.9K
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2023-07-04 00:52 4.9K
[   ]perl-template-plugin-number-format-1.06-r4.apk2023-07-04 00:52 4.9K
[   ]catcodec-doc-1.0.5-r2.apk2022-05-14 14:21 4.9K
[   ]asteroid-camera-2.0.0-r0.apk2023-08-31 11:41 4.9K
[   ]perl-anyevent-mocktcpserver-1.172150-r0.apk2024-04-16 19:38 4.9K
[   ]perl-test-utf8-doc-1.02-r2.apk2023-07-04 00:52 4.9K
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2023-07-04 00:52 4.9K
[   ]xfd-doc-1.1.4-r0.apk2022-12-04 10:12 4.9K
[   ]py3-setuptools-declarative-requirements-pyc-1.3.0-r3.apk2024-04-15 23:03 4.9K
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-04-15 23:03 4.9K
[   ]usbguard-notifier-doc-0.1.0-r0.apk2023-02-09 01:54 4.9K
[   ]openslide-doc-3.4.1-r3.apk2023-08-01 17:19 4.9K
[   ]py3-scs-pyc-3.2.3-r3.apk2024-04-15 23:03 4.9K
[   ]perl-test-settings-0.003-r0.apk2024-04-16 19:38 4.9K
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-04-15 23:03 5.0K
[   ]rofi-pass-doc-2.0.2-r2.apk2021-12-29 21:51 5.0K
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-01-15 21:58 5.0K
[   ]py3-pytest-expect-1.1.0-r9.apk2024-04-17 04:54 5.0K
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-04-15 23:03 5.0K
[   ]py3-ovos-phal-plugin-connectivity-events-0.0.3-r1.apk2024-04-15 23:03 5.0K
[   ]virtctl-bash-completion-1.2.0-r1.apk2024-05-19 01:29 5.0K
[   ]projectsandcastle-loader-0_git20200307-r1.apk2022-10-28 17:21 5.0K
[   ]perl-test-redisserver-0.23-r0.apk2024-01-17 13:17 5.0K
[   ]glow-bash-completion-1.5.1-r7.apk2024-05-19 01:28 5.0K
[   ]mangal-bash-completion-4.0.6-r11.apk2024-05-19 01:28 5.0K
[   ]git-revise-doc-0.7.0-r4.apk2024-04-15 23:03 5.0K
[   ]perl-linux-pid-0.04-r12.apk2023-07-04 00:52 5.0K
[   ]py3-flask-accept-0.0.6-r1.apk2024-04-15 23:03 5.0K
[   ]libopensmtpd-doc-0.7-r0.apk2022-02-19 02:19 5.0K
[   ]py3-sphinxcontrib-slide-1.0.0-r3.apk2024-04-15 23:03 5.0K
[   ]py3-ask-0.0.8-r8.apk2024-04-15 23:03 5.0K
[   ]rofi-json-menu-0.2.0-r0.apk2022-02-01 21:25 5.0K
[   ]k3sup-bash-completion-0.13.5-r4.apk2024-05-23 15:54 5.0K
[   ]river-dev-0.3.2-r0.apk2024-05-31 00:34 5.0K
[   ]ckb-next-dev-0.6.0-r1.apk2023-07-19 21:10 5.0K
[   ]ko-bash-completion-0.15.2-r2.apk2024-05-19 01:28 5.0K
[   ]uv-bash-completion-0.2.6-r0.apk2024-06-05 23:16 5.0K
[   ]oras-cli-bash-completion-1.1.0-r3.apk2024-05-19 01:28 5.0K
[   ]godap-bash-completion-2.5.0-r0.apk2024-05-23 23:50 5.0K
[   ]regal-bash-completion-0.21.3-r1.apk2024-05-19 01:28 5.0K
[   ]zot-cli-bash-completion-2.0.4-r2.apk2024-05-19 01:29 5.0K
[   ]mailctl-doc-0.9.2-r0.apk2024-01-02 21:57 5.0K
[   ]wgcf-bash-completion-2.2.22-r1.apk2024-05-19 01:29 5.0K
[   ]opa-bash-completion-0.61.0-r3.apk2024-05-19 01:28 5.1K
[   ]linux-timemachine-1.3.2-r0.apk2022-11-21 05:12 5.1K
[   ]virter-bash-completion-0.27.0-r1.apk2024-05-19 01:29 5.1K
[   ]cilium-cli-bash-completion-0.16.6-r1.apk2024-05-19 01:28 5.1K
[   ]hubble-cli-bash-completion-0.13.3-r1.apk2024-05-19 01:28 5.1K
[   ]atlas-bash-completion-0.22.0-r1.apk2024-05-19 01:28 5.1K
[   ]hyprcursor-doc-0.1.8-r0.apk2024-05-21 23:52 5.1K
[   ]tetragon-client-bash-completion-1.1.0-r1.apk2024-05-19 01:29 5.1K
[   ]perl-io-async-resolver-dns-doc-0.06-r0.apk2023-12-30 12:58 5.1K
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2022-02-22 09:20 5.1K
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-01-19 03:11 5.1K
[   ]perl-sql-abstract-pg-1.0-r0.apk2024-01-12 14:36 5.1K
[   ]perl-protocol-redis-doc-1.0011-r0.apk2024-01-12 14:36 5.1K
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-04-15 23:03 5.1K
[   ]lsip6-pyc-0.2.0-r1.apk2024-04-15 23:03 5.1K
[   ]py3-pygpgme-pyc-0.3.1-r8.apk2024-04-15 23:03 5.1K
[   ]perl-test-api-0.010-r2.apk2023-07-04 00:52 5.1K
[   ]perl-algorithm-c3-doc-0.11-r1.apk2023-07-04 00:52 5.1K
[   ]wmctrl-doc-1.07-r1.apk2022-02-18 15:44 5.1K
[   ]apprise-doc-1.7.6-r0.apk2024-04-16 01:35 5.1K
[   ]edward-doc-1.0.1-r1.apk2023-12-18 16:21 5.1K
[   ]minidyndns-doc-1.3.0-r3.apk2021-10-19 03:46 5.1K
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.0.3-r1.apk2024-04-15 23:03 5.1K
[   ]lxd-feature-bash-completion-5.20-r3.apk2024-05-19 01:28 5.1K
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2023-11-06 18:37 5.1K
[   ]headscale-bash-completion-0.22.3-r7.apk2024-05-19 01:28 5.1K
[   ]kubepug-bash-completion-1.7.1-r3.apk2024-05-19 01:28 5.1K
[   ]helmfile-bash-completion-0.162.0-r3.apk2024-05-19 01:28 5.1K
[   ]sing-box-bash-completion-1.8.4-r4.apk2024-05-19 01:29 5.1K
[   ]kine-doc-0.10.1-r5.apk2024-05-19 01:28 5.1K
[   ]moderncli-doc-0.8.1-r0.apk2024-02-13 22:03 5.1K
[   ]py3-click-default-group-1.2.4-r1.apk2024-04-15 23:03 5.1K
[   ]lomiri-thumbnailer-dev-3.0.3-r1.apk2024-04-22 19:58 5.2K
[   ]perl-url-encode-0.03-r4.apk2023-07-04 00:52 5.2K
[   ]perl-path-iter-doc-0.2-r3.apk2023-07-04 00:52 5.2K
[   ]perl-anyevent-future-0.05-r0.apk2023-11-15 18:32 5.2K
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-02-03 10:53 5.2K
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-04-16 13:30 5.2K
[   ]py3-radon-doc-6.0.1-r1.apk2024-04-15 23:03 5.2K
[   ]perl-net-irr-doc-0.10-r0.apk2024-03-14 18:21 5.2K
[   ]git-bug-bash-completion-0.8.0-r12.apk2024-05-19 01:28 5.2K
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-04-15 23:03 5.2K
[   ]tre-dev-0.8.0-r2.apk2023-05-15 18:46 5.2K
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2023-07-04 00:52 5.2K
[   ]rankwidth-libs-0.9-r3.apk2023-08-01 17:19 5.2K
[   ]paperde-dev-0.2.1-r1.apk2023-04-23 00:16 5.2K
[   ]perl-number-misc-1.2-r5.apk2023-10-17 13:18 5.2K
[   ]minimodem-doc-0.24-r1.apk2022-10-28 17:21 5.2K
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-04-15 23:03 5.2K
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-02-03 10:53 5.2K
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-04-15 23:03 5.2K
[   ]perl-path-iter-0.2-r3.apk2023-07-04 00:52 5.2K
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-02-25 18:26 5.2K
[   ]gamemode-dev-0_git20240327-r0.apk2024-06-04 13:51 5.2K
[   ]zita-njbridge-doc-0.4.8-r1.apk2022-10-28 17:21 5.2K
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-04-15 23:03 5.2K
[   ]dnsenum-doc-1.3.2-r0.apk2024-04-24 03:32 5.2K
[   ]perl-string-compare-constanttime-doc-0.321-r5.apk2023-07-04 00:52 5.2K
[   ]mobpass-pyc-0.2-r5.apk2024-04-15 23:03 5.3K
[   ]py3-cjkwrap-pyc-2.2-r3.apk2024-04-15 23:03 5.3K
[   ]json2tsv-doc-1.1-r0.apk2023-07-22 16:13 5.3K
[   ]perl-test-memorygrowth-doc-0.04-r0.apk2024-01-24 11:08 5.3K
[   ]py3-grequests-0.7.0-r1.apk2024-04-15 23:03 5.3K
[   ]perl-guard-doc-1.023-r8.apk2023-07-04 00:52 5.3K
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-04-15 23:03 5.3K
[   ]xmp-doc-4.2.0-r0.apk2023-08-21 02:04 5.3K
[   ]lua-resty-redis-0.29-r0.apk2023-02-16 21:58 5.3K
[   ]py3-flake8-snippets-0.2-r8.apk2024-04-15 23:03 5.3K
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2023-07-04 00:52 5.3K
[   ]perl-net-async-redis-xs-doc-1.001-r0.apk2024-01-24 11:08 5.3K
[   ]perl-sys-syscall-0.25-r9.apk2024-01-04 02:10 5.3K
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2023-07-04 00:52 5.3K
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-04-15 23:03 5.3K
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2023-07-04 00:52 5.4K
[   ]perl-text-table-sprintf-0.008-r0.apk2024-02-25 18:26 5.4K
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-04-15 09:15 5.4K
[   ]neo4j-client-doc-2.2.0-r3.apk2022-08-21 03:34 5.4K
[   ]logc-config-0.5.0-r0.apk2023-11-18 18:32 5.4K
[   ]base64c-dev-0.2.1-r0.apk2023-11-18 18:32 5.4K
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-04-15 23:03 5.4K
[   ]ocaml-mirage-random-dev-3.0.0-r3.apk2024-03-23 21:49 5.4K
[   ]libmpfi-dev-1.5.4-r2.apk2023-08-01 17:19 5.4K
[   ]cargo-shuttle-zsh-completion-0.45.0-r0.apk2024-05-14 02:00 5.4K
[   ]lomiri-action-api-dev-1.1.3-r0.apk2024-02-07 01:49 5.4K
[   ]par2cmdline-turbo-doc-1.1.1-r0.apk2023-12-01 02:00 5.4K
[   ]perl-git-version-compare-1.005-r0.apk2024-02-05 17:09 5.4K
[   ]startup-fish-completion-2.0.3-r4.apk2023-07-03 00:04 5.4K
[   ]rattler-build-zsh-completion-0.16.2-r0.apk2024-05-24 23:41 5.4K
[   ]serialdv-dev-1.1.4-r0.apk2023-02-22 13:07 5.4K
[   ]ghq-doc-1.6.1-r1.apk2024-05-19 01:28 5.4K
[   ]perl-anyevent-future-doc-0.05-r0.apk2023-11-15 18:32 5.4K
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-04-15 23:03 5.4K
[   ]py3-click-threading-0.5.0-r4.apk2024-04-15 23:03 5.4K
[   ]php81-ctype-8.1.29-r0.apk2024-06-06 22:04 5.4K
[   ]spvm-mime-base64-doc-1.001003-r0.apk2024-04-11 02:39 5.4K
[   ]flightgear-zsh-completion-2020.3.19-r1.apk2024-04-22 19:58 5.4K
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-02-05 17:12 5.4K
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-05-31 08:16 5.4K
[   ]vbindiff-doc-3.0_beta5-r1.apk2022-10-28 17:21 5.4K
[   ]fcitx5-chinese-addons-dev-5.1.5-r0.apk2024-05-10 05:34 5.4K
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-02-23 20:45 5.5K
[   ]perl-conf-libconfig-doc-1.0.0-r1.apk2023-07-04 00:52 5.5K
[   ]perl-dancer-session-cookie-0.30-r2.apk2023-07-04 00:52 5.5K
[   ]perl-sort-naturally-doc-1.03-r4.apk2023-07-04 00:52 5.5K
[   ]perl-net-irr-0.10-r0.apk2024-03-14 18:21 5.5K
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-01-14 13:52 5.5K
[   ]luksmeta-doc-9-r0.apk2022-06-17 14:01 5.5K
[   ]bm818-tools-0.7-r0.apk2023-12-16 16:13 5.5K
[   ]lsip6-0.2.0-r1.apk2024-04-15 23:03 5.5K
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2019-11-22 16:17 5.5K
[   ]autoconf-policy-0.1-r0.apk2020-06-12 10:45 5.5K
[   ]lockrun-1.1.3-r1.apk2022-10-28 17:21 5.5K
[   ]upterm-bash-completion-0.13.5-r1.apk2024-05-19 01:29 5.5K
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-04-15 23:03 5.5K
[   ]perl-scalar-readonly-0.03-r0.apk2024-03-09 07:50 5.5K
[   ]boxed-cpp-doc-1.4.0-r0.apk2024-03-02 16:02 5.5K
[   ]etcd-doc-3.5.13-r2.apk2024-05-19 01:28 5.5K
[   ]libunicode-doc-0.4.0-r0.apk2024-01-19 01:29 5.5K
[   ]php82-snappy-0.2.1-r1.apk2023-05-13 22:21 5.5K
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r6.apk2024-04-15 23:03 5.5K
[   ]perl-class-c3-componentised-1.001002-r2.apk2023-07-04 00:52 5.5K
[   ]woodpecker-doc-2.5.0-r0.apk2024-06-02 08:55 5.5K
[   ]herbe-1.0.0-r0.apk2022-08-10 18:41 5.5K
[   ]qperf-doc-0.4.11-r1.apk2022-10-28 17:21 5.5K
[   ]tick-doc-1.2.0-r0.apk2024-05-31 15:22 5.5K
[   ]mrsh-0_git20210518-r1.apk2022-10-28 17:21 5.5K
[   ]wol-doc-0.7.1-r2.apk2023-08-17 19:12 5.5K
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk2024-04-15 23:03 5.5K
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2020-12-10 20:09 5.6K
[   ]bgs-0.8-r1.apk2022-10-28 17:20 5.6K
[   ]macchina-doc-6.1.8-r1.apk2023-05-24 16:04 5.6K
[   ]kanister-tools-bash-completion-0.107.0-r1.apk2024-05-19 01:28 5.6K
[   ]tayga-doc-0.9.2-r0.apk2023-01-23 08:26 5.6K
[   ]py3-flask-loopback-1.4.7-r7.apk2024-04-15 23:03 5.6K
[   ]perl-digest-bcrypt-1.212-r1.apk2023-07-04 00:52 5.6K
[   ]perl-protocol-redis-1.0011-r0.apk2024-01-12 14:36 5.6K
[   ]kompose-bash-completion-1.31.2-r3.apk2024-05-19 01:28 5.6K
[   ]fpp-doc-0.9.5-r0.apk2022-02-15 00:29 5.6K
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-04-04 16:57 5.6K
[   ]neard-doc-0.19-r0.apk2023-09-19 21:49 5.6K
[   ]sane-airscan-doc-0.99.27-r1.apk2023-04-30 23:31 5.6K
[   ]py3-flask-markdown-0.3-r8.apk2024-04-15 23:03 5.6K
[   ]perl-test-memorygrowth-0.04-r0.apk2024-01-24 11:08 5.6K
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-04-15 23:03 5.6K
[   ]libhwpwm-0.4.4-r0.apk2023-09-28 20:50 5.6K
[   ]perl-hash-merge-doc-0.302-r2.apk2023-07-04 00:52 5.6K
[   ]ocaml-tophide-1.0.4-r2.apk2024-03-23 21:50 5.6K
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-01-15 21:58 5.7K
[   ]py3-ticket-auth-0.1.4-r8.apk2024-04-15 23:03 5.7K
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-04-15 23:03 5.7K
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2023-07-04 00:52 5.7K
[   ]perl-aliased-0.34-r4.apk2023-07-04 00:52 5.7K
[   ]perl-algorithm-c3-0.11-r1.apk2023-07-04 00:52 5.7K
[   ]rankwidth-0.9-r3.apk2023-08-01 17:19 5.7K
[   ]harminv-doc-1.4.2-r1.apk2023-10-08 19:25 5.7K
[   ]perl-freezethaw-doc-0.5001-r2.apk2023-07-04 00:52 5.7K
[   ]logc-libs-dev-0.1.0-r0.apk2023-11-18 18:32 5.7K
[   ]perl-aliased-doc-0.34-r4.apk2023-07-04 00:52 5.7K
[   ]calibre-bash-completion-7.12.0-r0.apk2024-06-01 04:33 5.7K
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-04-15 23:03 5.7K
[   ]libhwpwm-dev-0.4.4-r0.apk2023-09-28 20:50 5.7K
[   ]git2json-pyc-0.2.3-r8.apk2024-04-15 16:52 5.7K
[   ]perl-lwp-online-doc-1.08-r0.apk2024-01-12 14:36 5.7K
[   ]py3-banal-1.0.6-r3.apk2024-04-15 23:03 5.7K
[   ]mobpass-0.2-r5.apk2024-04-15 23:03 5.7K
[   ]materia-chromium-20210322-r1.apk2022-10-28 22:30 5.7K
[   ]py3-rst-0.1-r8.apk2024-04-15 23:03 5.7K
[   ]py3-flake8-polyfill-pyc-1.0.2-r4.apk2024-04-15 23:03 5.7K
[   ]autorandr-launcher-1.15-r0.apk2024-03-16 13:36 5.7K
[   ]materia-compact-chromium-20210322-r1.apk2022-10-28 22:30 5.7K
[   ]libvoikko-doc-4.3.2-r1.apk2024-04-15 23:03 5.7K
[   ]materia-dark-chromium-20210322-r1.apk2022-10-28 22:30 5.7K
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-04-15 23:03 5.7K
[   ]termbox-dev-1.1.2-r1.apk2023-05-15 18:46 5.7K
[   ]perl-xml-rpc-2.1-r0.apk2024-05-22 22:04 5.7K
[   ]py3-spinners-0.0.24-r4.apk2024-04-15 23:03 5.7K
[   ]py3-mujson-pyc-1.4-r0.apk2024-05-27 04:18 5.7K
[   ]materia-dark-compact-chromium-20210322-r1.apk2022-10-28 22:30 5.7K
[   ]utop-doc-2.9.1-r4.apk2024-04-04 12:39 5.7K
[   ]kind-bash-completion-0.22.0-r3.apk2024-05-19 01:28 5.8K
[   ]perl-io-sessiondata-1.03-r3.apk2023-07-04 00:52 5.8K
[   ]py3-notifymail-pyc-1.1-r7.apk2024-04-15 23:03 5.8K
[   ]dfu-programmer-doc-1.1.0-r0.apk2023-07-10 22:18 5.8K
[   ]turnstile-doc-0.1.8-r0.apk2023-09-03 06:03 5.8K
[   ]stern-bash-completion-1.29.0-r1.apk2024-05-19 01:29 5.8K
[   ]fcitx5-bamboo-lang-1.0.5-r1.apk2024-05-19 01:28 5.8K
[   ]memdump-1.01-r1.apk2022-10-28 17:21 5.8K
[   ]py3-simplematch-pyc-1.4-r1.apk2024-04-15 23:03 5.8K
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-04-15 23:03 5.8K
[   ]gsimplecal-doc-2.5.1-r0.apk2024-02-10 02:12 5.8K
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-04-15 09:15 5.8K
[   ]vector-doc-0.34.2-r0.apk2024-01-05 19:42 5.8K
[   ]perl-data-dumper-concise-2.023-r4.apk2023-07-04 00:52 5.8K
[   ]py3-django-js-asset-2.2-r2.apk2024-04-15 23:03 5.8K
[   ]lemonbar-doc-1.4-r1.apk2022-10-28 17:20 5.8K
[   ]perl-data-validate-domain-0.15-r0.apk2024-01-14 13:52 5.8K
[   ]py3-jaraco.logging-pyc-3.3.0-r0.apk2024-06-02 19:39 5.8K
[   ]dex-doc-0.9.0-r1.apk2023-10-19 18:10 5.8K
[   ]perl-data-validate-ip-doc-0.31-r1.apk2023-07-04 00:52 5.9K
[   ]libimobiledevice-glue-dev-1.0.0-r1.apk2023-07-30 00:01 5.9K
[   ]startup-dev-2.0.3-r4.apk2023-07-03 00:04 5.9K
[   ]perl-test-class-tiny-0.03-r0.apk2024-02-05 17:12 5.9K
[   ]dbus-broker-doc-35-r0.apk2024-01-04 20:38 5.9K
[   ]deadbeef-soxr-20180801-r0.apk2020-12-01 20:48 5.9K
[   ]flawz-doc-0.2.1-r0.apk2024-06-02 22:57 5.9K
[   ]restart-services-doc-0.17.0-r0.apk2021-12-18 23:53 5.9K
[   ]py3-grequests-pyc-0.7.0-r1.apk2024-04-15 23:03 5.9K
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2020-12-10 20:09 5.9K
[   ]git-cola-doc-4.4.1-r1.apk2024-04-15 23:03 5.9K
[   ]perl-dbicx-sugar-0.0200-r5.apk2023-07-04 00:52 5.9K
[   ]perl-test-utf8-1.02-r2.apk2023-07-04 00:52 5.9K
[   ]perl-term-size-0.211-r3.apk2023-07-04 00:52 5.9K
[   ]spvm-thread-doc-0.001-r0.apk2024-04-11 02:39 5.9K
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-05-13 09:58 5.9K
[   ]perl-ppi-xs-0.910-r0.apk2024-02-24 13:59 5.9K
[   ]py3-flake8-polyfill-1.0.2-r4.apk2024-04-15 23:03 5.9K
[   ]xob-doc-0.3-r0.apk2023-02-12 01:20 6.0K
[   ]godap-doc-2.5.0-r0.apk2024-05-23 23:50 6.0K
[   ]spvm-errno-doc-0.092-r0.apk2024-04-11 02:39 6.0K
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-04-15 23:03 6.0K
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-05-09 00:38 6.0K
[   ]lua5.2-psl-0.3-r0.apk2020-02-05 11:50 6.0K
[   ]f_scripts-f_phone-0.6-r0.apk2024-05-29 18:24 6.0K
[   ]lua5.3-psl-0.3-r0.apk2020-02-05 11:50 6.0K
[   ]spacectl-fish-completion-0.30.0-r3.apk2024-05-19 01:29 6.0K
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-04-15 23:03 6.0K
[   ]lua5.3-apk3-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 6.0K
[   ]pixi-bash-completion-0.21.1-r0.apk2024-05-09 00:22 6.0K
[   ]hdf4-doc-4.2.15-r1.apk2023-05-15 18:45 6.0K
[   ]sysls-2-r1.apk2024-04-15 23:03 6.0K
[   ]py3-jaraco.logging-3.3.0-r0.apk2024-06-02 19:39 6.0K
[   ]pcsc-tools-doc-1.7.1-r0.apk2023-12-31 21:26 6.0K
[   ]perl-test-settings-doc-0.003-r0.apk2024-04-16 19:38 6.0K
[   ]mint-x-theme-metacity-2.1.1-r0.apk2023-06-17 00:20 6.1K
[   ]py3-class-doc-1.25-r1.apk2024-04-15 23:03 6.1K
[   ]ovos-messagebus-pyc-0.0.3-r1.apk2024-04-15 23:03 6.1K
[   ]dislocker-doc-0.7.3-r5.apk2024-04-15 09:15 6.1K
[   ]perl-net-patricia-doc-1.22-r11.apk2023-07-04 00:52 6.1K
[   ]perl-test-distribution-doc-2.00-r1.apk2023-07-04 00:52 6.1K
[   ]lua5.1-psl-0.3-r0.apk2020-02-05 11:50 6.1K
[   ]py3-rst.linker-2.6.0-r0.apk2024-05-13 09:58 6.1K
[   ]py3-pbkdf2-1.3-r6.apk2024-04-15 23:03 6.1K
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-05-13 09:58 6.1K
[   ]perl-algorithm-cron-0.10-r4.apk2023-07-04 00:52 6.1K
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-04-15 23:03 6.1K
[   ]py3-bottle-rest-0.6.0-r1.apk2024-04-15 23:03 6.1K
[   ]curlftpfs-doc-0.9.2-r3.apk2022-10-28 17:20 6.1K
[   ]py3-pycolorterm-0.2.1-r5.apk2022-11-24 23:55 6.1K
[   ]py3-rst-pyc-0.1-r8.apk2024-04-15 23:03 6.1K
[   ]shfm-doc-0.4.2-r1.apk2022-10-28 17:21 6.1K
[   ]perl-email-reply-1.204-r5.apk2023-07-04 00:52 6.1K
[   ]perl-lwp-online-1.08-r0.apk2024-01-12 14:36 6.2K
[   ]pipectl-0.4.1-r1.apk2023-02-01 21:56 6.2K
[   ]perl-cgi-expand-doc-2.05-r4.apk2023-07-04 00:52 6.2K
[   ]remind-caldav-pyc-0.8.0-r3.apk2024-04-15 23:03 6.2K
[   ]leptosfmt-doc-0.1.18-r0.apk2024-01-19 16:13 6.2K
[   ]spvm-math-doc-1.001-r0.apk2024-04-11 02:39 6.2K
[   ]fcitx5-m17n-lang-5.1.1-r0.apk2024-05-10 05:34 6.2K
[   ]grip-doc-4.2.4-r0.apk2023-01-26 20:27 6.2K
[   ]perl-test-toolbox-doc-0.4-r5.apk2023-10-17 13:18 6.2K
[   ]kannel-doc-1.5.0-r11.apk2023-04-30 23:30 6.2K
[   ]perl-string-random-doc-0.32-r2.apk2024-01-08 10:42 6.2K
[   ]py3-flask-qrcode-pyc-3.1.0-r4.apk2024-04-15 23:03 6.2K
[   ]firewalld-zsh-completion-2.1.2-r0.apk2024-04-15 09:15 6.2K
[   ]py3-pytest-helpers-namespace-pyc-2021.12.29-r3.apk2024-04-15 23:03 6.2K
[   ]php81-sysvsem-8.1.29-r0.apk2024-06-06 22:04 6.2K
[   ]create-tauri-app-doc-4.0.0-r0.apk2024-05-23 16:16 6.2K
[   ]perl-html-selector-xpath-0.28-r0.apk2024-02-24 13:59 6.2K
[   ]pfqueue-doc-0.5.6-r1.apk2022-10-14 17:08 6.2K
[   ]mlxl-0.1-r0.apk2023-03-18 22:44 6.2K
[   ]perl-throwable-1.001-r1.apk2023-07-04 00:52 6.2K
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-04-15 23:03 6.2K
[   ]schismtracker-doc-20231029-r0.apk2023-11-19 14:18 6.2K
[   ]py3-microdata-0.8.0-r0.apk2024-04-28 21:56 6.2K
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-04-15 23:03 6.3K
[   ]libdng-utils-0.1.1-r0.apk2024-01-21 23:37 6.3K
[   ]plfit-dev-0.9.4-r2.apk2023-08-01 17:19 6.3K
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-04-15 23:03 6.3K
[   ]php81-gettext-8.1.29-r0.apk2024-06-06 22:04 6.3K
[   ]perl-check-unitcheck-0.13-r0.apk2024-01-17 13:12 6.3K
[   ]py3-vatnumber-1.2-r8.apk2024-04-15 23:03 6.3K
[   ]py3-spinners-pyc-0.0.24-r4.apk2024-04-15 23:03 6.3K
[   ]grommunio-common-1.0-r2.apk2024-05-31 01:04 6.3K
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-05-08 19:44 6.3K
[   ]ocp-index-emacs-1.3.6-r0.apk2024-03-23 21:50 6.3K
[   ]asteroid-languages-0_git20230112-r0.apk2023-05-13 22:19 6.3K
[   ]perl-http-xsheaders-doc-0.400005-r0.apk2024-02-24 13:59 6.3K
[   ]asahi-scripts-20230821-r1.apk2024-01-05 05:55 6.3K
[   ]libantic-dev-0.2.5-r0.apk2022-11-02 03:36 6.3K
[   ]openfortivpn-doc-1.21.0-r0.apk2024-02-09 02:01 6.4K
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2023-07-04 00:52 6.4K
[   ]boxed-cpp-dev-1.4.0-r0.apk2024-03-02 16:02 6.4K
[   ]perl-indirect-doc-0.39-r0.apk2024-01-15 21:58 6.4K
[   ]perl-devel-refcount-0.10-r0.apk2024-01-17 13:17 6.4K
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-04-15 23:03 6.4K
[   ]py3-python-archive-0.2-r6.apk2024-04-15 23:03 6.4K
[   ]pantalaimon-doc-0.10.5-r4.apk2024-04-15 16:59 6.4K
[   ]perl-lwp-useragent-cached-0.08-r1.apk2023-07-04 00:52 6.4K
[   ]bgpq4-doc-1.12-r0.apk2024-02-13 23:39 6.4K
[   ]py3-ticket-auth-pyc-0.1.4-r8.apk2024-04-15 23:03 6.4K
[   ]py3-x-wr-timezone-pyc-0.0.7-r1.apk2024-04-15 23:03 6.4K
[   ]iipsrv-doc-1.2-r0.apk2023-10-05 07:24 6.4K
[   ]trafficserver9-plugin-remappurge-9.2.4-r0.apk2024-04-05 15:43 6.4K
[   ]codeberg-cli-zsh-completion-0.4.0-r0.apk2024-05-03 01:34 6.4K
[   ]libbamf-dev-0.5.6-r1.apk2023-10-20 09:13 6.4K
[   ]wlopm-0.1.0-r0.apk2022-06-28 17:08 6.4K
[   ]rss-email-doc-0.5.0-r0.apk2024-04-26 15:58 6.4K
[   ]perl-net-async-xmpp-0.003-r0.apk2024-01-16 20:43 6.5K
[   ]rustic-bash-completion-0.6.1-r1.apk2024-01-08 10:43 6.5K
[   ]clinfo-doc-3.0.23.01.25-r0.apk2023-02-10 11:38 6.5K
[   ]fox-utils-1.6.57-r0.apk2022-08-08 12:58 6.5K
[   ]py3-notifymail-1.1-r7.apk2024-04-15 23:03 6.5K
[   ]repgrep-doc-0.15.0-r0.apk2024-01-04 20:38 6.5K
[   ]remind-caldav-0.8.0-r3.apk2024-04-15 23:03 6.5K
[   ]lsix-1.8.2-r0.apk2023-12-23 13:59 6.5K
[   ]ovpncc-doc-0.1_rc1-r0.apk2023-03-16 02:57 6.5K
[   ]py3-microdata-pyc-0.8.0-r0.apk2024-04-28 21:56 6.5K
[   ]ocaml-mmap-dev-1.2.0-r3.apk2024-03-23 21:49 6.5K
[   ]upterm-doc-0.13.5-r1.apk2024-05-19 01:29 6.5K
[   ]imediff-doc-2.6-r1.apk2024-04-15 23:03 6.5K
[   ]lol-html-dev-1.1.1-r0.apk2023-11-06 18:37 6.5K
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-01-14 13:53 6.5K
[   ]kubeone-bash-completion-1.7.4-r1.apk2024-05-19 01:28 6.6K
[   ]py3-sphinxcontrib-actdiag-3.0.0-r2.apk2023-04-25 16:57 6.6K
[   ]cpiped-0.1.0-r0.apk2017-08-29 00:27 6.6K
[   ]cutechess-cli-doc-1.3.1-r0.apk2023-09-24 20:36 6.6K
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-02-10 02:02 6.6K
[   ]xcape-1.2-r0.apk2021-11-29 22:04 6.6K
[   ]py3-pymsteams-pyc-0.2.2-r3.apk2024-04-15 23:03 6.6K
[   ]dcnnt-doc-0.10.0-r1.apk2024-04-15 23:03 6.6K
[   ]watchbind-doc-0.2.1-r0.apk2024-01-22 12:30 6.6K
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-04-15 23:03 6.6K
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2023-01-12 17:03 6.6K
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-04-15 23:03 6.6K
[   ]php81-shmop-8.1.29-r0.apk2024-06-06 22:04 6.6K
[   ]emacs-persist-0.6_git20240114-r0.apk2024-04-02 11:39 6.6K
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-04-15 23:03 6.6K
[   ]tui-journal-doc-0.8.0-r0.apk2024-02-10 05:41 6.6K
[   ]apk-snap-3.1.1-r0.apk2024-01-22 16:55 6.6K
[   ]py3-simplespectral-1.0.0-r4.apk2024-04-15 23:03 6.6K
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-05-13 09:58 6.6K
[   ]perl-text-table-any-doc-0.117-r0.apk2024-02-25 18:26 6.7K
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-02-24 13:59 6.7K
[   ]xload-1.1.4-r0.apk2022-05-12 11:39 6.7K
[   ]debconf-utils-1.5.82-r0.apk2023-03-16 15:38 6.7K
[   ]perl-cairo-gobject-1.005-r3.apk2023-07-04 00:52 6.7K
[   ]perl-storable-improved-0.1.3-r0.apk2024-02-24 13:59 6.7K
[   ]extremetuxracer-doc-0.8.3-r0.apk2023-07-25 15:03 6.7K
[   ]xtitle-0.4.4-r1.apk2022-10-28 17:21 6.7K
[   ]py3-flake8-print-5.0.0-r5.apk2024-04-15 23:03 6.7K
[   ]rattler-build-doc-0.16.2-r0.apk2024-05-24 23:41 6.7K
[   ]py3-sphinxcontrib-gravatar-0.1.2-r7.apk2024-04-15 23:03 6.7K
[   ]perl-test-files-0.26-r0.apk2024-03-09 07:50 6.7K
[   ]alarmwakeup-0.2.1-r0.apk2023-10-06 07:49 6.7K
[   ]zarchive-dev-0.1.2-r2.apk2023-08-07 22:56 6.8K
[   ]gmsh-py-4.12.2-r1.apk2024-04-22 06:42 6.8K
[   ]kompose-zsh-completion-1.31.2-r3.apk2024-05-19 01:28 6.8K
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-04-15 23:03 6.8K
[   ]serialdv-1.1.4-r0.apk2023-02-22 13:07 6.8K
[   ]ustream-ssl-20220116-r1.apk2022-08-04 23:46 6.8K
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-02-10 02:02 6.8K
[   ]perl-test-file-doc-1.993-r1.apk2023-07-04 00:52 6.8K
[   ]isoinfo-0_git20131217-r1.apk2022-10-28 17:20 6.8K
[   ]halp-doc-0.1.7-r1.apk2023-07-03 00:02 6.8K
[   ]apk-autoupdate-doc-0_git20210421-r0.apk2022-01-15 23:17 6.8K
[   ]pwauth-doc-2.3.11-r2.apk2022-10-28 17:21 6.8K
[   ]php81-pecl-uuid-1.2.0-r0.apk2024-04-11 02:39 6.8K
[   ]gst-plugins-rs-dev-0.12.4-r0.apk2024-04-10 17:32 6.8K
[   ]game-devices-udev-0.22-r2.apk2023-10-31 12:12 6.8K
[   ]perl-archive-extract-doc-0.88-r1.apk2023-07-04 00:52 6.8K
[   ]qoi-dev-0.0.0_git20230312-r0.apk2023-03-17 08:30 6.8K
[   ]termcolor-dev-2.1.0-r0.apk2022-10-28 22:14 6.8K
[   ]json2tsv-1.1-r0.apk2023-07-22 16:13 6.9K
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2020-12-10 20:09 6.9K
[   ]perl-hash-merge-0.302-r2.apk2023-07-04 00:52 6.9K
[   ]py3-playsound-1.3.0-r1.apk2024-04-15 23:03 6.9K
[   ]py3-tailer-0.4.1-r7.apk2024-04-15 23:03 6.9K
[   ]perl-cgi-expand-2.05-r4.apk2023-07-04 00:52 6.9K
[   ]perl-x-tiny-0.22-r0.apk2024-04-16 19:38 6.9K
[   ]boxes-doc-2.2.1-r0.apk2023-09-06 15:12 6.9K
[   ]pixi-doc-0.21.1-r0.apk2024-05-09 00:22 6.9K
[   ]openslide-dev-3.4.1-r3.apk2023-08-01 17:19 6.9K
[   ]qtmir-dev-0.7.2-r0.apk2024-01-28 18:49 6.9K
[   ]perl-time-timegm-0.01-r8.apk2023-07-04 00:52 6.9K
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-04-11 02:39 6.9K
[   ]java-asmtools-doc-8.0.09-r0.apk2023-11-06 18:36 6.9K
[   ]n30f-2.0-r3.apk2022-10-28 17:21 6.9K
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-02-24 13:59 6.9K
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-01-14 13:53 6.9K
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2023-05-15 18:45 6.9K
[   ]dublin-traceroute-dev-0.4.2-r3.apk2023-09-16 23:20 6.9K
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2023-05-15 18:45 6.9K
[   ]bootinfo-0.1.0-r3.apk2024-04-15 23:03 6.9K
[   ]ovos-phal-pyc-0.0.5_alpha6-r1.apk2024-04-15 23:03 6.9K
[   ]py3-simplesoapy-1.5.1-r6.apk2024-04-15 23:03 6.9K
[   ]py3-jaraco.stream-3.0.3-r0.apk2024-06-02 19:39 6.9K
[   ]fcitx5-hangul-lang-5.1.3-r0.apk2024-05-10 05:34 6.9K
[   ]perl-constant-defer-doc-6-r5.apk2023-07-04 00:52 6.9K
[   ]perl-crypt-saltedhash-0.09-r5.apk2023-07-04 00:52 7.0K
[   ]hare-message-0_git20231204-r0.apk2024-05-08 01:02 7.0K
[   ]py3-pytap2-2.3.0-r0.apk2024-05-09 00:38 7.0K
[   ]nkk-doc-0_git20221010-r0.apk2023-02-23 20:39 7.0K
[   ]py3-pip-system-certs-4.0-r1.apk2024-04-15 23:03 7.0K
[   ]py3-flask-themer-pyc-2.0.0-r1.apk2024-04-15 23:03 7.0K
[   ]py3-pytest-expect-pyc-1.1.0-r9.apk2024-04-17 04:54 7.0K
[   ]oil-doc-0.21.0-r0.apk2024-03-16 00:09 7.0K
[   ]py3-ovos-ww-plugin-vosk-0.1.1-r1.apk2024-04-19 15:10 7.0K
[   ]amdgpu-fan-0.1.0-r4.apk2024-04-15 09:15 7.0K
[   ]py3-flake8-builtins-pyc-2.1.0-r3.apk2024-04-15 23:03 7.0K
[   ]libmysofa-dev-1.3.2-r0.apk2023-11-06 18:37 7.0K
[   ]zsh-fast-syntax-highlighting-doc-1.55_git20230705-r0.apk2023-12-17 13:10 7.0K
[   ]tmpmail-1.2.3-r2.apk2023-11-06 18:38 7.0K
[   ]py3-flask-themer-2.0.0-r1.apk2024-04-15 23:03 7.0K
[   ]perl-io-async-resolver-dns-0.06-r0.apk2023-12-30 12:58 7.0K
[   ]mm-1.4.2-r1.apk2017-03-20 17:29 7.0K
[   ]perl-constant-generate-doc-0.17-r5.apk2023-07-04 00:52 7.0K
[   ]perl-uri-fetch-0.15-r0.apk2024-01-14 16:55 7.1K
[   ]py3-ntplib-0.4.0-r4.apk2024-04-15 23:03 7.1K
[   ]hiprompt-gtk-py-0_git20230225-r0.apk2023-02-25 18:57 7.1K
[   ]adjtimex-doc-1.29-r0.apk2021-12-31 22:26 7.1K
[   ]py3-pbkdf2-pyc-1.3-r6.apk2024-04-15 23:03 7.1K
[   ]dnsfunnel-doc-0.0.1.6-r0.apk2023-11-06 22:49 7.1K
[   ]perl-object-event-doc-1.23-r0.apk2024-01-03 20:16 7.1K
[   ]enlighten-0.9.2-r1.apk2022-10-28 17:20 7.1K
[   ]moon-buggy-doc-1.0.51-r1.apk2022-10-28 17:21 7.1K
[   ]perl-test-expander-2.5.0-r0.apk2024-03-09 07:50 7.1K
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-04-15 23:03 7.1K
[   ]libdatrie-0.2.13-r0.apk2022-01-27 01:31 7.1K
[   ]perl-graphql-client-0.605-r0.apk2024-01-19 00:46 7.1K
[   ]pmccabe-doc-2.8-r1.apk2022-10-28 17:21 7.1K
[   ]lutgen-bash-completion-0.10.1-r0.apk2024-06-01 15:18 7.2K
[   ]visidata-zsh-completion-2.11.1-r2.apk2024-04-15 23:03 7.2K
[   ]dsp-doc-1.9-r1.apk2023-02-28 17:31 7.2K
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-01-14 13:53 7.2K
[   ]oh-my-zsh-doc-0_git20220104-r1.apk2023-02-10 01:13 7.2K
[   ]ecm-doc-7.0.5-r1.apk2023-05-15 18:45 7.2K
[   ]py3-shodan-doc-1.31.0-r1.apk2024-04-15 23:03 7.2K
[   ]mint-x-icons-doc-1.6.5-r1.apk2023-10-31 12:12 7.2K
[   ]pptpclient-doc-1.10.0-r4.apk2023-07-04 00:52 7.2K
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2020-12-10 20:09 7.2K
[   ]perl-devel-leak-0.03-r12.apk2023-07-04 00:52 7.2K
[   ]py3-banal-pyc-1.0.6-r3.apk2024-04-15 23:03 7.2K
[   ]perl-string-crc32-2.100-r3.apk2023-07-04 00:52 7.2K
[   ]litterbox-doc-1.9-r1.apk2023-04-08 04:34 7.2K
[   ]php81-sysvshm-8.1.29-r0.apk2024-06-06 22:04 7.2K
[   ]perl-log-fu-doc-0.31-r4.apk2023-07-04 00:52 7.3K
[   ]advancescan-doc-1.18-r1.apk2022-10-28 17:20 7.3K
[   ]perl-color-ansi-util-0.165-r0.apk2024-04-16 13:30 7.3K
[   ]py3-async-lru-2.0.4-r1.apk2024-04-15 23:03 7.3K
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2023-07-04 00:52 7.3K
[   ]amiitool-2-r2.apk2024-04-15 09:15 7.3K
[   ]keystone-dev-0.9.2-r6.apk2024-04-15 23:03 7.3K
[   ]pinephone-call-audio-0.1-r0.apk2021-10-06 20:31 7.3K
[   ]py3-colorthief-0.2.1-r1.apk2024-04-15 23:03 7.3K
[   ]msgpuck-doc-2.0-r1.apk2020-02-22 18:27 7.3K
[   ]jbigkit-doc-2.1-r2.apk2022-10-28 17:20 7.3K
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-04-15 23:03 7.3K
[   ]perl-openapi-client-doc-1.07-r0.apk2024-01-14 13:52 7.4K
[   ]lizardfs-cgiserv-3.13.0-r13.apk2024-04-22 19:58 7.4K
[   ]py3-proglog-0.1.10-r2.apk2024-04-15 23:03 7.4K
[   ]perl-color-rgb-util-doc-0.607-r0.apk2024-04-16 13:30 7.4K
[   ]bananui-clock-0.1.0-r0.apk2023-10-06 07:49 7.4K
[   ]trafficserver9-plugin-healthchecks-9.2.4-r0.apk2024-04-05 15:43 7.4K
[   ]perl-constant-defer-6-r5.apk2023-07-04 00:52 7.4K
[   ]perl-session-storage-secure-doc-1.000-r2.apk2023-07-04 00:52 7.4K
[   ]rsstail-2.1-r1.apk2022-10-28 17:21 7.4K
[   ]cliquer-dev-1.22-r2.apk2023-08-01 17:19 7.4K
[   ]libfyaml-doc-0.9-r0.apk2023-12-21 23:36 7.4K
[   ]git2json-0.2.3-r8.apk2024-04-15 16:52 7.4K
[   ]trafficserver9-plugin-tcpinfo-9.2.4-r0.apk2024-04-05 15:43 7.4K
[   ]py3-more-properties-1.1.1-r3.apk2024-04-15 23:03 7.4K
[   ]tree-sitter-git-rebase-0_git20220110-r2.apk2023-11-15 22:53 7.5K
[   ]py3-python-logstash-0.4.8-r3.apk2024-04-15 23:03 7.5K
[   ]pass2csv-pyc-1.1.1-r1.apk2024-04-15 23:03 7.5K
[   ]py3-junit-xml-1.9-r2.apk2024-04-15 23:03 7.5K
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2023-07-04 00:52 7.5K
[   ]py3-sstash-0.17-r8.apk2024-04-15 23:03 7.5K
[   ]cscope-doc-15.9-r1.apk2022-10-14 17:08 7.5K
[   ]cliquer-1.22-r2.apk2023-08-01 17:19 7.5K
[   ]py3-pickle-secure-0.99.9-r1.apk2024-04-15 09:15 7.5K
[   ]py3-lsp-black-2.0.0-r1.apk2024-04-15 23:03 7.5K
[   ]py3-dotty-dict-1.3.1-r3.apk2024-04-15 23:03 7.5K
[   ]perl-ryu-async-0.020-r0.apk2024-01-15 21:58 7.5K
[   ]ffms2-dev-2.40-r0.apk2023-12-30 14:46 7.5K
[   ]aqemu-doc-0.9.4-r3.apk2023-04-08 12:52 7.5K
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-01-14 16:55 7.5K
[   ]lutgen-fish-completion-0.10.1-r0.apk2024-06-01 15:18 7.5K
[   ]perl-dbix-datasource-doc-0.02-r5.apk2023-07-04 00:52 7.5K
[   ]perl-file-rename-2.02-r0.apk2024-01-01 13:22 7.5K
[   ]py3-columnize-pyc-0.3.11-r3.apk2024-04-15 23:03 7.5K
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-04-15 23:03 7.5K
[   ]py3-eradicate-2.3.0-r1.apk2024-04-15 23:03 7.5K
[   ]py3-wg-netns-2.3.1-r1.apk2024-04-15 23:03 7.6K
[   ]py3-columnize-0.3.11-r3.apk2024-04-15 23:03 7.6K
[   ]dvdbackup-doc-0.4.2-r1.apk2022-10-14 17:08 7.6K
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2023.12-r4.apk2024-04-15 23:03 7.6K
[   ]perl-string-compare-constanttime-0.321-r5.apk2023-07-04 00:52 7.6K
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-01-12 14:36 7.6K
[   ]perl-x-tiny-doc-0.22-r0.apk2024-04-16 19:38 7.6K
[   ]gamemode-doc-0_git20240327-r0.apk2024-06-04 13:51 7.6K
[   ]trafficserver9-plugin-escalate-9.2.4-r0.apk2024-04-05 15:43 7.6K
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-02-24 13:59 7.6K
[   ]libcotp-2.0.2-r0.apk2023-10-28 11:40 7.6K
[   ]py3-ovos-phal-plugin-oauth-0.0.2-r1.apk2024-04-15 23:03 7.6K
[   ]opkg-doc-0.6.2-r0.apk2023-11-27 22:03 7.6K
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r7.apk2024-04-15 23:03 7.6K
[   ]py3-createrepo_c-pyc-1.0.2-r1.apk2024-04-15 23:03 7.6K
[   ]py3-openapi-codec-1.3.2-r9.apk2024-04-15 23:03 7.6K
[   ]geomyidae-doc-0.34-r2.apk2022-10-28 17:20 7.6K
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-04-15 23:03 7.6K
[   ]perl-email-abstract-3.010-r0.apk2023-09-03 13:01 7.6K
[   ]perl-net-libresolv-0.03-r0.apk2023-12-30 12:58 7.7K
[   ]py3-jaraco.path-3.7.0-r0.apk2024-05-13 09:58 7.7K
[   ]py3-clickclick-20.10.2-r2.apk2023-04-22 18:10 7.7K
[   ]libbloom-2.0-r0.apk2023-06-17 00:20 7.7K
[   ]arc-xfwm-20221218-r0.apk2023-01-07 14:34 7.7K
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-04-11 02:39 7.7K
[   ]yarr-doc-2.4-r6.apk2024-05-19 01:29 7.7K
[   ]harminv-1.4.2-r1.apk2023-10-08 19:25 7.7K
[   ]p910nd-0.97-r2.apk2022-06-04 14:38 7.7K
[   ]perl-sentinel-0.07-r0.apk2024-01-19 00:46 7.7K
[   ]postgresql-pg_partman-scripts-5.0.0-r0.apk2023-12-17 23:58 7.7K
[   ]runst-doc-0.1.7-r0.apk2024-03-27 02:09 7.7K
[   ]arc-lighter-xfwm-20221218-r0.apk2023-01-07 14:34 7.7K
[   ]ydcv-0.7-r7.apk2024-04-15 23:03 7.7K
[   ]py3-pymsteams-0.2.2-r3.apk2024-04-15 23:03 7.7K
[   ]ocaml-mirage-random-3.0.0-r3.apk2024-03-23 21:49 7.7K
[   ]kmscon-doc-9.0.0-r0.apk2022-10-05 02:06 7.7K
[   ]perl-test-distribution-2.00-r1.apk2023-07-04 00:52 7.8K
[   ]perl-syntax-keyword-match-doc-0.14-r0.apk2024-05-01 14:52 7.8K
[   ]mat2-doc-0.13.4-r1.apk2023-10-19 18:09 7.8K
[   ]gmic-dev-3.3.5-r0.apk2024-04-26 15:52 7.8K
[   ]py3-pymsgbox-1.0.9-r4.apk2024-04-15 23:03 7.8K
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-04-15 23:03 7.8K
[   ]buf-bash-completion-1.31.0-r1.apk2024-05-19 01:28 7.8K
[   ]b4-doc-0.13.0-r0.apk2024-02-17 22:16 7.8K
[   ]moosefs-cgiserv-3.0.117-r1.apk2023-06-17 23:06 7.8K
[   ]ocaml-mmap-1.2.0-r3.apk2024-03-23 21:49 7.8K
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-04-15 23:03 7.8K
[   ]perl-graphql-client-cli-0.605-r0.apk2024-01-19 00:46 7.8K
[   ]cargo-update-doc-13.4.0-r0.apk2024-05-04 15:41 7.8K
[   ]perl-math-random-isaac-xs-1.004-r7.apk2023-07-04 00:52 7.8K
[   ]hexer-dev-1.4.0-r15.apk2024-05-19 01:28 7.8K
[   ]stgit-zsh-completion-1.3-r5.apk2024-04-15 23:03 7.8K
[   ]py3-dict2xml-pyc-1.7.5-r2.apk2024-04-15 23:03 7.8K
[   ]arc-darker-xfwm-20221218-r0.apk2023-01-07 14:34 7.9K
[   ]nvim-cmp-buffer-0.0.0_git20220810-r0.apk2022-10-13 22:58 7.9K
[   ]arc-dark-xfwm-20221218-r0.apk2023-01-07 14:34 7.9K
[   ]py3-click-threading-pyc-0.5.0-r4.apk2024-04-15 23:03 7.9K
[   ]perl-bsd-resource-doc-1.2911-r9.apk2023-12-17 23:58 7.9K
[   ]libnfc-dev-1.8.0-r1.apk2023-05-15 18:46 7.9K
[   ]queercat-1.0.0-r0.apk2023-08-16 12:29 7.9K
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-04-16 01:46 7.9K
[   ]jhead-doc-3.08-r0.apk2023-07-23 16:03 7.9K
[   ]stw-0.3-r0.apk2023-03-06 15:44 7.9K
[   ]perl-string-random-0.32-r2.apk2024-01-08 10:42 7.9K
[   ]care-doc-2.3.0-r0.apk2024-02-10 21:01 7.9K
[   ]libjodycode-3.1-r1.apk2023-07-30 00:01 7.9K
[   ]dex-0.9.0-r1.apk2023-10-19 18:10 7.9K
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-04-15 23:03 7.9K
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2020-02-26 21:28 7.9K
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-04-15 23:03 7.9K
[   ]fulcrum-admin-1.9.8-r0.apk2024-02-12 23:45 7.9K
[   ]py3-translationstring-1.4-r3.apk2024-04-15 23:03 7.9K
[   ]stgit-fish-completion-1.3-r5.apk2024-04-15 23:03 7.9K
[   ]jdupes-doc-1.27.3-r0.apk2023-08-27 22:21 8.0K
[   ]libgrapheme-doc-1-r0.apk2022-01-29 00:10 8.0K
[   ]limnoria-doc-20220927-r3.apk2024-04-15 23:03 8.0K
[   ]bchunk-1.2.2-r2.apk2022-10-28 17:20 8.0K
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-04-15 23:03 8.0K
[   ]perl-netaddr-mac-doc-0.98-r1.apk2023-07-04 00:52 8.0K
[   ]boinc-doc-7.24.3-r0.apk2024-02-22 02:03 8.0K
[   ]sigrok-cli-doc-0.7.2-r0.apk2022-09-19 12:28 8.0K
[   ]py3-simplematch-1.4-r1.apk2024-04-15 23:03 8.0K
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-01-14 13:53 8.0K
[   ]perl-throwable-doc-1.001-r1.apk2023-07-04 00:52 8.0K
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r1.apk2024-04-15 23:03 8.0K
[   ]py3-pygelbooru-0.5.0-r2.apk2023-04-25 16:57 8.0K
[   ]ocaml-result-dev-1.5-r2.apk2024-03-23 21:50 8.0K
[   ]nfoview-doc-2.0.1-r0.apk2024-05-19 16:05 8.0K
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-03-23 21:49 8.0K
[   ]vmtouch-doc-1.3.1-r0.apk2023-03-28 14:18 8.0K
[   ]perl-dbix-introspector-0.001005-r4.apk2023-07-04 00:52 8.1K
[   ]libretro-gong-0_git20220319-r0.apk2022-04-21 12:02 8.1K
[   ]perl-text-table-any-0.117-r0.apk2024-02-25 18:26 8.1K
[   ]sylpheed-imap-notify-1.1.0-r0.apk2019-04-17 09:37 8.1K
[   ]perl-list-binarysearch-xs-doc-0.09-r0.apk2024-01-15 21:58 8.1K
[   ]py3-ovos-ocp-m3u-plugin-0.0.2_alpha2-r0.apk2024-05-31 08:16 8.1K
[   ]lomiri-libusermetrics-dev-1.3.2-r0.apk2024-02-07 01:49 8.1K
[   ]libcorkipset-dev-1.1.1-r4.apk2023-10-30 11:37 8.1K
[   ]py3-ly-doc-0.9.8-r1.apk2024-04-15 23:03 8.1K
[   ]ttfautohint-doc-1.8.4-r0.apk2024-05-06 12:51 8.1K
[   ]py3-simplespectral-pyc-1.0.0-r4.apk2024-04-15 23:03 8.1K
[   ]exabgp-doc-4.2.21-r4.apk2024-04-15 23:03 8.1K
[   ]py3-pytest-mypy-0.10.3-r2.apk2024-04-15 23:03 8.1K
[   ]perl-adapter-async-0.019-r0.apk2024-01-17 13:12 8.1K
[   ]meson-tools-0.1-r1.apk2022-08-04 10:48 8.1K
[   ]trafficserver9-plugin-confremap-9.2.4-r0.apk2024-04-05 15:43 8.1K
[   ]py3-ovos-phal-plugin-oauth-pyc-0.0.2-r1.apk2024-04-15 23:03 8.1K
[   ]hurl-doc-4.3.0-r0.apk2024-05-04 15:41 8.1K
[   ]libmhash-doc-0.9.9.9-r3.apk2022-10-14 17:08 8.1K
[   ]cdba-1.0-r0.apk2023-11-12 14:23 8.1K
[   ]py3-janus-1.0.0-r3.apk2024-04-15 16:59 8.2K
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-04-24 22:49 8.2K
[   ]php81-sysvmsg-8.1.29-r0.apk2024-06-06 22:04 8.2K
[   ]lgogdownloader-doc-3.12-r2.apk2024-04-22 19:58 8.2K
[   ]libzn_poly-dev-0.9.2-r2.apk2023-08-01 17:19 8.2K
[   ]wol-lang-0.7.1-r2.apk2023-08-17 19:12 8.2K
[   ]cri-o-fish-completion-1.29.1-r3.apk2024-05-19 01:28 8.2K
[   ]ocaml-mirage-time-dev-3.0.0-r4.apk2024-03-23 21:49 8.2K
[   ]py3-jaraco.stream-pyc-3.0.3-r0.apk2024-06-02 19:39 8.2K
[   ]libdbusmenu-lxqt-dev-0.1.0-r0.apk2024-05-23 04:03 8.2K
[   ]py3-flask-paginate-0.8.1-r6.apk2024-04-15 23:03 8.2K
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-05-31 08:16 8.2K
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-04-15 23:03 8.2K
[   ]py3-mujson-1.4-r0.apk2024-05-27 04:18 8.2K
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-04-15 23:03 8.2K
[   ]wput-doc-0.6.2-r4.apk2022-10-14 17:08 8.2K
[   ]perl-daemon-control-doc-0.001010-r2.apk2023-07-04 00:52 8.3K
[   ]py3-lib_users-0.15-r3.apk2024-04-15 23:03 8.3K
[   ]bootinfo-pyc-0.1.0-r3.apk2024-04-15 23:03 8.3K
[   ]disfetch-3.7-r0.apk2023-06-17 00:18 8.3K
[   ]flowd-dev-0.9.1-r9.apk2023-07-04 00:52 8.3K
[   ]agrep-0.8.0-r2.apk2023-05-15 18:45 8.3K
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-05-31 08:16 8.3K
[   ]dinit-alpine-0_git20240128-r1.apk2024-05-31 22:53 8.3K
[   ]py3-publicsuffix2-pyc-2.20191221-r5.apk2024-04-15 16:26 8.3K
[   ]py3-ovos-ocp-rss-plugin-0.0.2-r1.apk2024-04-15 23:03 8.3K
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-01-14 16:55 8.4K
[   ]pass2csv-1.1.1-r1.apk2024-04-15 23:03 8.4K
[   ]tinycbor-dev-0.6.0-r1.apk2022-11-18 02:56 8.4K
[   ]meson-tools-doc-0.1-r1.apk2022-08-04 10:48 8.4K
[   ]perl-dbix-class-candy-0.005003-r5.apk2023-07-04 00:52 8.4K
[   ]py3-eradicate-pyc-2.3.0-r1.apk2024-04-15 23:03 8.4K
[   ]perl-test-timer-doc-2.12-r2.apk2024-01-04 02:10 8.4K
[   ]tmux-resurrect-doc-4.0.0-r0.apk2022-09-21 10:37 8.4K
[   ]pixi-fish-completion-0.21.1-r0.apk2024-05-09 00:22 8.4K
[   ]btpd-doc-0.16-r2.apk2022-08-04 10:46 8.4K
[   ]gutenprint-doc-5.3.4-r3.apk2023-05-21 19:06 8.4K
[   ]perl-data-dumper-concise-doc-2.023-r4.apk2023-07-04 00:52 8.4K
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-04-15 23:03 8.4K
[   ]py3-nose-timer-1.0.1-r5.apk2024-04-15 23:03 8.4K
[   ]py3-sphinx-theme-quark-pyc-0.6.0-r2.apk2023-04-25 16:57 8.4K
[   ]mepo-doc-1.2.1-r0.apk2024-05-10 06:00 8.4K
[   ]py3-intervals-0.9.2-r4.apk2024-04-15 23:03 8.4K
[   ]perl-uri-db-doc-0.22-r0.apk2024-04-05 15:00 8.4K
[   ]py3-python-logstash-pyc-0.4.8-r3.apk2024-04-15 23:03 8.4K
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2023-07-04 00:52 8.5K
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2023-07-04 00:52 8.5K
[   ]libirecovery-progs-1.1.0-r0.apk2023-05-27 13:04 8.5K
[   ]lutgen-zsh-completion-0.10.1-r0.apk2024-06-01 15:18 8.5K
[   ]py3-cucumber-tag-expressions-6.0.0-r1.apk2024-04-15 23:03 8.5K
[   ]foma-dev-0.10.0_git20221230-r0.apk2023-06-17 00:19 8.5K
[   ]perl-term-ui-doc-0.50-r1.apk2023-07-04 00:52 8.5K
[   ]logc-0.5.0-r0.apk2023-11-18 18:32 8.5K
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-06-02 08:42 8.5K
[   ]perl-net-netmask-doc-2.0002-r2.apk2024-01-08 10:42 8.5K
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-04-15 23:03 8.5K
[   ]pounce-doc-3.1-r3.apk2024-01-03 15:12 8.6K
[   ]finger-0.5-r0.apk2024-03-09 10:11 8.6K
[   ]pamtester-0.1.2-r3.apk2022-10-14 17:08 8.6K
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2020-12-10 20:09 8.6K
[   ]wmutils-doc-1.7-r1.apk2023-10-15 01:24 8.6K
[   ]py3-pyisbn-1.3.1-r2.apk2024-04-15 23:03 8.6K
[   ]py3-pep8-naming-0.13.3-r2.apk2024-04-15 23:03 8.6K
[   ]py3-async-lru-pyc-2.0.4-r1.apk2024-04-15 23:03 8.6K
[   ]php81-pecl-maxminddb-1.11.1-r0.apk2024-04-11 02:39 8.6K
[   ]createrepo_c-doc-1.0.2-r1.apk2024-04-15 23:03 8.6K
[   ]zot-doc-2.0.4-r2.apk2024-05-19 01:29 8.6K
[   ]tty-clock-2.3_git20240104-r0.apk2024-01-19 04:13 8.6K
[   ]py3-vatnumber-pyc-1.2-r8.apk2024-04-15 23:03 8.6K
[   ]py3-ntplib-pyc-0.4.0-r4.apk2024-04-15 23:03 8.6K
[   ]perl-openapi-client-1.07-r0.apk2024-01-14 13:52 8.6K
[   ]libcyaml-doc-1.4.1-r2.apk2024-01-12 01:42 8.7K
[   ]sxcs-1.1.0-r0.apk2024-06-06 22:53 8.7K
[   ]cvs-fast-export-tools-1.65-r0.apk2024-02-17 05:37 8.7K
[   ]daktilo-doc-0.6.0-r0.apk2024-04-11 12:47 8.7K
[   ]fatresize-1.1.0-r1.apk2022-10-28 17:20 8.7K
[   ]perl-sort-naturally-1.03-r4.apk2023-07-04 00:52 8.7K
[   ]py3-ovos-microphone-plugin-alsa-0.0.0-r1.apk2024-04-15 23:03 8.7K
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-04-15 09:15 8.7K
[   ]subdl-0_git20230616-r1.apk2024-04-15 23:03 8.7K
[   ]perl-promise-xs-doc-0.20-r0.apk2024-01-19 01:18 8.7K
[   ]py3-dotty-dict-pyc-1.3.1-r3.apk2024-04-15 23:03 8.7K
[   ]py3-phpserialize-1.3-r7.apk2024-04-15 23:03 8.7K
[   ]py3-class-doc-pyc-1.25-r1.apk2024-04-15 23:03 8.7K
[   ]quodlibet-doc-4.6.0-r1.apk2024-04-15 23:03 8.7K
[   ]py3-uptime-pyc-3.0.1-r8.apk2024-04-15 23:03 8.7K
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-04-15 23:03 8.7K
[   ]py3-certauth-1.3.0-r0.apk2023-10-21 10:26 8.7K
[   ]py3-unicorn-hat-2.1.2-r5.apk2022-11-24 23:55 8.7K
[   ]endlessh-1.1-r0.apk2022-02-20 19:53 8.7K
[   ]h4h5tools-dev-2.2.5-r3.apk2024-05-04 15:41 8.8K
[   ]perl-constant-generate-0.17-r5.apk2023-07-04 00:52 8.8K
[   ]libxmp-dev-4.6.0-r0.apk2023-07-03 00:03 8.8K
[   ]imrsh-0_git20210320-r1.apk2022-10-28 17:20 8.8K
[   ]py3-translationstring-pyc-1.4-r3.apk2024-04-15 23:03 8.8K
[   ]vcsh-2.0.5-r0.apk2023-06-17 00:22 8.8K
[   ]fcitx5-rime-lang-5.1.6-r0.apk2024-05-10 05:34 8.8K
[   ]pixi-zsh-completion-0.21.1-r0.apk2024-05-09 00:22 8.8K
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-03-23 21:49 8.8K
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-01-15 21:58 8.8K
[   ]php81-pspell-8.1.29-r0.apk2024-06-06 22:04 8.8K
[   ]py3-quebra-frases-0.3.7-r1.apk2024-04-15 23:03 8.8K
[   ]perl-guard-1.023-r8.apk2023-07-04 00:52 8.8K
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-04-15 23:03 8.8K
[   ]perl-data-validate-ip-0.31-r1.apk2023-07-04 00:52 8.8K
[   ]nitrocli-doc-0.4.1-r3.apk2023-05-24 16:04 8.8K
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.1.0-r1.apk2024-04-15 23:03 8.8K
[   ]perl-session-storage-secure-1.000-r2.apk2023-07-04 00:52 8.8K
[   ]ccze-doc-0.2.1-r1.apk2022-09-07 22:39 8.8K
[   ]ncdu2-doc-2.3_git20240412-r0.apk2024-04-25 20:41 8.8K
[   ]rofi-pass-2.0.2-r2.apk2021-12-29 21:51 8.8K
[   ]nsnake-3.0.0-r0.apk2022-04-15 17:00 8.8K
[   ]libmdbx-doc-0.11.8-r0.apk2022-07-02 06:10 8.8K
[   ]tdrop-doc-0.5.0-r0.apk2022-05-05 22:56 8.9K
[   ]py3-uptime-3.0.1-r8.apk2024-04-15 23:03 8.9K
[   ]libucl-doc-0.9.0-r0.apk2024-02-02 22:16 8.9K
[   ]perl-net-curl-promiser-0.20-r0.apk2024-02-05 17:12 8.9K
[   ]perl-mixin-event-dispatch-2.000-r0.apk2024-01-03 19:23 8.9K
[   ]perl-test-timer-2.12-r2.apk2024-01-04 02:10 8.9K
[   ]lua-inet-0.2.0-r0.apk2022-01-14 10:20 8.9K
[   ]perl-autobox-doc-3.0.1-r8.apk2023-07-04 00:52 8.9K
[   ]logc-dev-0.5.0-r0.apk2023-11-18 18:32 8.9K
[   ]py3-rfc3987-1.3.8-r5.apk2024-04-15 23:03 8.9K
[   ]py3-itunespy-1.6-r3.apk2024-04-15 23:03 8.9K
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-04-15 23:03 8.9K
[   ]py3-ovos-ocp-news-plugin-pyc-0.0.3-r1.apk2024-04-15 23:03 8.9K
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-04-15 23:03 8.9K
[   ]libwbxml-dev-0.11.8-r0.apk2022-03-19 10:15 9.0K
[   ]perl-rxperl-mojo-doc-6.8.1-r0.apk2024-01-19 04:45 9.0K
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-01-19 04:45 9.0K
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-04-15 23:03 9.0K
[   ]py3-keepalive-0.5-r5.apk2024-04-15 09:15 9.0K
[   ]afetch-2.2.0-r1.apk2022-10-28 17:20 9.0K
[   ]libgedit-gfls-dev-0.1.0-r0.apk2024-05-27 07:44 9.0K
[   ]t2sz-1.1.2-r0.apk2023-04-13 23:28 9.0K
[   ]fff-doc-2.2-r0.apk2022-06-15 22:50 9.0K
[   ]lua5.2-luastatic-0.0.12-r1.apk2022-10-28 17:21 9.0K
[   ]lua5.3-luastatic-0.0.12-r1.apk2022-10-28 17:21 9.0K
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-01-19 04:45 9.0K
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-04-15 23:03 9.0K
[   ]md5ha1-0_git20171202-r1.apk2022-10-28 17:21 9.0K
[   ]linux-openvfd-0_git20220906-r0.apk2023-06-17 00:20 9.0K
[   ]py3-pytweening-pyc-1.2.0-r2.apk2024-04-15 23:03 9.0K
[   ]perl-number-format-doc-1.76-r1.apk2023-07-04 00:52 9.0K
[   ]py3-pytweening-1.2.0-r2.apk2024-04-15 23:03 9.0K
[   ]cargo-shuttle-doc-0.45.0-r0.apk2024-05-14 02:00 9.0K
[   ]pam_sqlite3-1.0.2-r1.apk2023-05-25 08:20 9.0K
[   ]innernet-doc-1.6.1-r0.apk2024-02-23 20:45 9.0K
[   ]freediameter-1.5.0-r1.apk2022-11-06 11:59 9.0K
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2018-10-23 17:03 9.0K
[   ]bindfs-doc-1.17.6-r0.apk2023-12-03 11:03 9.0K
[   ]py3-glob2-0.7-r5.apk2023-04-23 21:07 9.1K
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-04-15 23:03 9.1K
[   ]py3-dict2xml-1.7.5-r2.apk2024-04-15 23:03 9.1K
[   ]uv-zsh-completion-0.2.6-r0.apk2024-06-05 23:16 9.1K
[   ]lua5.4-luastatic-0.0.12-r1.apk2022-10-28 17:21 9.1K
[   ]duc-doc-1.4.5-r0.apk2023-07-03 00:01 9.1K
[   ]py3-dweepy-0.3.0-r7.apk2024-04-15 23:03 9.1K
[   ]py3-sphinx-theme-better-0.1.5-r6.apk2024-04-15 23:03 9.1K
[   ]gatling-doc-0.16-r4.apk2023-08-07 22:56 9.1K
[   ]trafficserver9-plugin-regexrevalidate-9.2.4-r0.apk2024-04-05 15:43 9.1K
[   ]py3-ovos-phal-plugin-system-pyc-0.0.4-r1.apk2024-04-15 23:03 9.1K
[   ]perl-future-q-doc-0.120-r0.apk2024-01-19 01:18 9.1K
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-04-15 23:03 9.1K
[   ]perl-musicbrainz-discid-0.06-r0.apk2023-08-22 19:28 9.1K
[   ]ssh-honeypot-0.1.1-r1.apk2023-05-15 18:46 9.1K
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-04-15 23:03 9.2K
[   ]perl-gtk3-doc-0.038-r1.apk2023-07-04 00:52 9.2K
[   ]pinentry-bemenu-0.13.1-r0.apk2024-03-22 15:22 9.2K
[   ]grommunio-admin-common-1.0_git20240313-r1.apk2024-05-31 01:04 9.2K
[   ]py3-tls_parser-2.0.1-r1.apk2024-04-15 23:03 9.2K
[   ]py3-telegram-text-0.2.0-r1.apk2024-04-15 23:03 9.2K
[   ]horust-doc-0.1.7-r1.apk2023-05-24 16:04 9.2K
[   ]perl-net-async-redis-xs-1.001-r0.apk2024-01-24 11:08 9.2K
[   ]mkdocs-ivory-0.4.6-r4.apk2024-04-15 23:03 9.2K
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-04-16 02:38 9.2K
[   ]xcur2png-0.7.1-r0.apk2024-04-23 10:01 9.2K
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-04-15 23:03 9.2K
[   ]py3-google-trans-new-1.1.9-r2.apk2024-04-15 23:03 9.2K
[   ]perl-future-http-0.17-r0.apk2024-04-15 09:15 9.2K
[   ]fcitx5-chewing-lang-5.1.2-r0.apk2024-05-10 05:34 9.2K
[   ]catdoc-doc-0.95-r1.apk2022-10-28 17:20 9.2K
[   ]gtk4-layer-shell-dev-1.0.2-r0.apk2023-11-12 13:21 9.3K
[   ]py3-pyrebase-3.0.27-r4.apk2024-04-15 23:03 9.3K
[   ]libiscsi-doc-1.19.0-r2.apk2023-05-15 18:46 9.3K
[   ]perl-class-c3-doc-0.35-r1.apk2023-07-04 00:52 9.3K
[   ]click-dev-0.5.2-r1.apk2024-04-15 23:03 9.3K
[   ]dsnet-doc-0.7.3-r3.apk2024-05-19 01:28 9.3K
[   ]py3-jaraco.vcs-2.2.0-r0.apk2024-05-13 09:58 9.3K
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-04-15 23:03 9.3K
[   ]planarity-3.0.2.0-r2.apk2023-08-01 17:19 9.3K
[   ]py3-junit-xml-pyc-1.9-r2.apk2024-04-15 23:03 9.3K
[   ]perl-database-async-engine-postgresql-doc-1.004-r0.apk2024-01-17 13:12 9.3K
[   ]s-postgray-doc-0.8.2-r0.apk2024-03-15 20:09 9.3K
[   ]uclient-fetch-20210514-r0.apk2022-06-09 03:00 9.3K
[   ]pokoy-0.2.5-r0.apk2023-05-22 23:23 9.3K
[   ]mktorrent-borg-0.9.9-r1.apk2022-08-04 10:48 9.4K
[   ]sflowtool-doc-6.02-r0.apk2023-11-13 23:59 9.4K
[   ]perl-color-rgb-util-0.607-r0.apk2024-04-16 13:30 9.4K
[   ]supermin-doc-5.2.2-r2.apk2024-04-18 13:11 9.4K
[   ]php81-enchant-8.1.29-r0.apk2024-06-06 22:04 9.4K
[   ]py3-python-archive-pyc-0.2-r6.apk2024-04-15 23:03 9.4K
[   ]nbsdgames-doc-5-r0.apk2022-05-04 15:20 9.4K
[   ]openswitcher-proxy-0.5.0-r3.apk2024-04-15 23:03 9.4K
[   ]x11docker-doc-7.6.0-r1.apk2023-12-19 15:55 9.4K
[   ]eclipse-ecj-doc-4.26-r0.apk2023-01-14 17:43 9.4K
[   ]py3-natpmp-1.3.2-r1.apk2024-04-15 23:03 9.4K
[   ]rustic-zsh-completion-0.6.1-r1.apk2024-01-08 10:43 9.4K
[   ]py3-pyisbn-pyc-1.3.1-r2.apk2024-04-15 23:03 9.4K
[   ]rlottie-dev-0.2_git20230831-r0.apk2023-12-18 15:55 9.4K
[   ]py3-levenshtein-pyc-0.25.1-r2.apk2024-04-15 09:15 9.4K
[   ]apt-mirror-0.5.4-r0.apk2023-01-05 23:23 9.4K
[   ]py3-jaraco.path-pyc-3.7.0-r0.apk2024-05-13 09:58 9.4K
[   ]perl-class-c3-0.35-r1.apk2023-07-04 00:52 9.5K
[   ]lomiri-trust-store-dev-2.0.2-r1.apk2024-05-19 11:53 9.5K
[   ]py3-toposort-1.10-r3.apk2024-04-15 23:03 9.5K
[   ]avarice-doc-2.14-r3.apk2022-10-17 14:57 9.5K
[   ]perl-object-event-1.23-r0.apk2024-01-03 20:16 9.5K
[   ]brltty-doc-6.6-r1.apk2023-11-12 13:19 9.5K
[   ]gpg-remailer-doc-3.04.07-r0.apk2022-12-30 12:43 9.5K
[   ]libgedit-gfls-0.1.0-r0.apk2024-05-27 07:44 9.5K
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-05-31 08:16 9.5K
[   ]certbot-dns-njalla-1.0.2-r2.apk2024-04-15 23:03 9.5K
[   ]libfishsound-1.0.0-r1.apk2020-08-19 23:59 9.5K
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-04-15 23:03 9.5K
[   ]py3-lib_users-pyc-0.15-r3.apk2024-04-15 23:03 9.5K
[   ]yoe-kiosk-browser-0_git20231118-r0.apk2023-12-13 21:03 9.5K
[   ]libtsm-dev-4.0.2-r0.apk2022-10-05 02:06 9.5K
[   ]perl-net-amqp-rabbitmq-doc-2.40010-r2.apk2023-07-04 00:52 9.6K
[   ]perl-minion-backend-pg-10.30-r0.apk2024-06-05 19:55 9.6K
[   ]perl-dbix-class-candy-doc-0.005003-r5.apk2023-07-04 00:52 9.6K
[   ]xob-0.3-r0.apk2023-02-12 01:20 9.6K
[   ]py3-pytest-mypy-pyc-0.10.3-r2.apk2024-04-15 23:03 9.6K
[   ]perl-algorithm-backoff-0.010-r0.apk2024-04-16 13:30 9.6K
[   ]ovos-messagebus-0.0.3-r1.apk2024-04-15 23:03 9.6K
[   ]atool-doc-0.39.0-r4.apk2022-10-28 17:20 9.6K
[   ]libsds-2.0.0-r1.apk2020-12-16 08:27 9.6K
[   ]perl-future-q-0.120-r0.apk2024-01-19 01:18 9.6K
[   ]libgrapheme-1-r0.apk2022-01-29 00:10 9.6K
[   ]ocaml-result-1.5-r2.apk2024-03-23 21:50 9.6K
[   ]kerberoast-0.2.0-r1.apk2024-04-15 23:03 9.6K
[   ]irccd-dev-4.0.3-r0.apk2023-07-30 00:01 9.6K
[   ]console_bridge-1.0.2-r0.apk2022-11-09 00:03 9.6K
[   ]py3-discid-1.2.0-r5.apk2024-04-15 23:03 9.6K
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-04-15 23:03 9.7K
[   ]amdgpu-fan-pyc-0.1.0-r4.apk2024-04-15 09:15 9.7K
[   ]keystone-python-pyc-0.9.2-r6.apk2024-04-15 23:03 9.7K
[   ]perl-ref-util-xs-0.117-r7.apk2023-07-04 00:52 9.7K
[   ]py3-pydes-2.0.1-r4.apk2024-04-15 09:15 9.7K
[   ]py3-certauth-pyc-1.3.0-r0.apk2023-10-21 10:26 9.7K
[   ]php81-pecl-csv-0.4.2-r0.apk2022-06-03 07:54 9.7K
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r2.apk2023-04-25 16:57 9.7K
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-04-18 15:58 9.7K
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2023-07-04 00:52 9.7K
[   ]proot-doc-5.4.0-r0.apk2023-06-17 00:21 9.7K
[   ]perl-digest-crc-0.24-r0.apk2024-01-15 21:58 9.7K
[   ]py3-setuptools-declarative-requirements-1.3.0-r3.apk2024-04-15 23:03 9.7K
[   ]emacs-hnreader-0_git20221116-r0.apk2024-04-02 11:39 9.8K
[   ]noice-0.8-r1.apk2022-10-28 17:21 9.8K
[   ]perl-hash-ordered-0.014-r0.apk2024-01-19 01:18 9.8K
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-01-14 16:55 9.8K
[   ]perl-freezethaw-0.5001-r2.apk2023-07-04 00:52 9.8K
[   ]lua5.2-libmodbus-0.6.1-r0.apk2020-07-12 12:14 9.8K
[   ]lua5.1-libmodbus-0.6.1-r0.apk2020-07-12 12:14 9.9K
[   ]py3-minidb-2.0.7-r3.apk2024-04-15 23:03 9.9K
[   ]perl-test-toolbox-0.4-r5.apk2023-10-17 13:18 9.9K
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-04-15 23:03 9.9K
[   ]py3-pymsgbox-pyc-1.0.9-r4.apk2024-04-15 23:03 9.9K
[   ]libuecc-7-r3.apk2023-10-18 18:22 9.9K
[   ]perl-test-modern-doc-0.013-r3.apk2023-07-04 00:52 9.9K
[   ]thunarx-python-0.5.2-r2.apk2024-04-16 18:34 9.9K
[   ]lomiri-indicator-network-dev-1.0.2-r0.apk2024-02-07 01:49 9.9K
[   ]perl-math-libm-1.00-r13.apk2023-07-04 00:52 9.9K
[   ]libgrapheme-dev-1-r0.apk2022-01-29 00:10 9.9K
[   ]apt-dater-doc-1.0.4-r3.apk2023-04-30 23:30 9.9K
[   ]libvoikko-dev-4.3.2-r1.apk2024-04-15 23:03 9.9K
[   ]py3-rstr-3.2.2-r3.apk2024-04-15 23:03 9.9K
[   ]py3-typing_inspect-0.9.0-r2.apk2024-04-15 23:03 9.9K
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-04-15 23:03 9.9K
[   ]perl-html-tableextract-doc-2.15-r4.apk2023-07-04 00:52 9.9K
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-04-11 02:39 9.9K
[   ]py3-nose-timer-pyc-1.0.1-r5.apk2024-04-15 23:03 9.9K
[   ]kumactl-bash-completion-2.7.2-r1.apk2024-05-19 01:28 9.9K
[   ]handlebars-utils-1.0.0-r1.apk2023-05-15 18:45 9.9K
[   ]perl-net-async-xmpp-doc-0.003-r0.apk2024-01-16 20:43 9.9K
[   ]perl-list-binarysearch-0.25-r0.apk2024-01-17 13:12 10K
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-05-31 08:16 10K
[   ]pcsc-perl-doc-1.4.16-r0.apk2023-12-03 22:19 10K
[   ]trafficserver9-plugin-generator-9.2.4-r0.apk2024-04-05 15:43 10K
[   ]mrsh-dev-0_git20210518-r1.apk2022-10-28 17:21 10K
[   ]sway-audio-idle-inhibit-0.1.1_git20230809-r0.apk2023-08-31 12:46 10K
[   ]perl-term-ui-0.50-r1.apk2023-07-04 00:52 10K
[   ]wpa_actiond-1.4-r7.apk2022-10-28 17:21 10K
[   ]py3-pytest-regtest-2.1.1-r1.apk2024-04-15 23:03 10K
[   ]perl-starman-doc-0.4017-r0.apk2023-09-14 12:55 10K
[   ]knative-client-bash-completion-1.14.0-r1.apk2024-05-19 01:28 10K
[   ]py3-thefuzz-0.22.1-r1.apk2024-04-15 23:03 10K
[   ]py3-rstr-pyc-3.2.2-r3.apk2024-04-15 23:03 10K
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2020-02-26 21:28 10K
[   ]py3-puremagic-pyc-1.23-r0.apk2024-05-29 00:45 10K
[   ]py3-cucumber-tag-expressions-pyc-6.0.0-r1.apk2024-04-15 23:03 10K
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-04-15 23:03 10K
[   ]spvm-thread-0.001-r0.apk2024-04-11 02:39 10K
[   ]php81-pecl-pcov-1.0.11-r0.apk2024-04-11 02:39 10K
[   ]trafficserver9-doc-9.2.4-r0.apk2024-04-05 15:43 10K
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-04-15 23:03 10K
[   ]netsed-1.3-r3.apk2022-10-28 17:21 10K
[   ]ansible-bender-doc-0.10.1-r2.apk2024-04-15 09:15 10K
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-04-15 23:03 10K
[   ]pick-4.0.0-r0.apk2023-05-18 12:47 10K
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-02-10 02:02 10K
[   ]flowd-doc-0.9.1-r9.apk2023-07-04 00:52 10K
[   ]xfce4-smartbookmark-plugin-0.5.2-r0.apk2023-08-03 17:17 10K
[   ]fcitx5-gtk-dev-5.1.3-r0.apk2024-05-10 05:34 10K
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-04-16 01:46 10K
[   ]perl-system-command-doc-1.122-r0.apk2024-02-05 17:09 10K
[   ]py3-bottle-session-1.0-r6.apk2024-04-15 23:03 10K
[   ]fatrace-0.17.0-r0.apk2022-11-18 02:56 10K
[   ]nullmailer-doc-2.2-r4.apk2021-12-13 16:06 10K
[   ]nvim-gruvbox-0.0.0_git20221212-r0.apk2022-12-18 19:29 10K
[   ]cgo-0.6.1-r1.apk2022-10-28 17:20 10K
[   ]toss-1.1-r0.apk2022-02-25 15:17 10K
[   ]mint-y-icons-doc-1.7.2-r0.apk2023-12-31 20:13 10K
[   ]gtk4-layer-shell-demo-1.0.2-r0.apk2023-11-12 13:21 10K
[   ]py3-sortedcollections-2.1.0-r4.apk2024-04-15 23:03 10K
[   ]opkg-0.6.2-r0.apk2023-11-27 22:03 10K
[   ]py3-itemadapter-0.8.0-r2.apk2024-04-15 23:03 10K
[   ]alttab-doc-1.7.1-r0.apk2023-06-17 00:18 10K
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-01-15 15:28 10K
[   ]py3-aiohttp-session-2.12.0-r3.apk2024-04-15 23:03 10K
[   ]py3-sstash-pyc-0.17-r8.apk2024-04-15 23:03 10K
[   ]shntool-doc-3.0.10-r4.apk2022-10-08 15:37 10K
[   ]ifuse-1.1.4-r4.apk2023-08-13 21:16 10K
[   ]ovos-phal-0.0.5_alpha6-r1.apk2024-04-15 23:03 10K
[   ]py3-iniparse-doc-0.5-r6.apk2024-04-15 23:03 10K
[   ]linuxptp-phc_ctl-4.2-r0.apk2023-12-20 19:54 10K
[   ]py3-base58-2.1.1-r1.apk2024-04-15 23:03 10K
[   ]perl-pod-tidy-0.10-r1.apk2023-07-04 00:52 10K
[   ]py3-clickclick-pyc-20.10.2-r2.apk2023-04-22 18:10 10K
[   ]py3-blockchain-1.4.4-r6.apk2024-04-15 23:03 10K
[   ]py3-halo-0.0.31-r4.apk2024-04-15 23:03 10K
[   ]tree-sitter-git-diff-0_git20230730-r0.apk2023-11-17 00:15 10K
[   ]trafficserver9-plugin-cacherangerequests-9.2.4-r0.apk2024-04-05 15:43 10K
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-04-15 23:03 10K
[   ]py3-sphinx-theme-quark-0.6.0-r2.apk2023-04-25 16:57 10K
[   ]emacs-embark-consult-1.0_git20240327-r0.apk2024-04-09 16:44 10K
[   ]py3-u-msgpack-2.8.0-r1.apk2024-04-17 04:54 10K
[   ]arj-doc-0_git20220125-r1.apk2022-10-14 17:08 10K
[   ]perl-math-int64-doc-0.57-r0.apk2024-01-24 11:08 10K
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-04-15 23:03 10K
[   ]trafficserver9-plugin-statsoverhttp-9.2.4-r0.apk2024-04-05 15:43 10K
[   ]uv-fish-completion-0.2.6-r0.apk2024-06-05 23:16 10K
[   ]perl-trafficserver9-9.2.4-r0.apk2024-04-05 15:43 10K
[   ]py3-ovos-ww-plugin-vosk-pyc-0.1.1-r1.apk2024-04-19 15:10 10K
[   ]py3-ovos-phal-plugin-system-0.0.4-r1.apk2024-04-15 23:03 11K
[   ]nvim-cmp-doc-0.0.0_git20221011-r0.apk2022-10-13 22:58 11K
[   ]perl-log-fu-0.31-r4.apk2023-07-04 00:52 11K
[   ]perl-minion-backend-redis-0.003-r0.apk2024-02-10 02:02 11K
[   ]py3-aiohttp-remotes-1.2.0-r3.apk2024-04-15 23:03 11K
[   ]tcc-0.9.27_git20240117-r0.apk2024-01-26 07:27 11K
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-04-15 23:03 11K
[   ]bananui-demos-2.0.0-r0.apk2023-10-06 07:49 11K
[   ]php81-bz2-8.1.29-r0.apk2024-06-06 22:04 11K
[   ]perl-pod-tidy-doc-0.10-r1.apk2023-07-04 00:52 11K
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-04-15 23:03 11K
[   ]ocaml-ppx_derivers-1.2.1-r2.apk2024-03-23 21:49 11K
[   ]py3-uritools-4.0.2-r1.apk2024-04-15 23:03 11K
[   ]lolcat-1.4-r0.apk2023-06-17 00:20 11K
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-04-15 23:03 11K
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-04-15 23:03 11K
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-04-15 23:03 11K
[   ]cm256cc-1.1.1-r0.apk2024-04-26 17:07 11K
[   ]perl-log-message-0.08-r3.apk2023-07-04 00:52 11K
[   ]ydcv-pyc-0.7-r7.apk2024-04-15 23:03 11K
[   ]ocaml-extlib-doc-1.7.9-r2.apk2024-03-23 21:49 11K
[   ]py3-rfc3987-pyc-1.3.8-r5.apk2024-04-15 23:03 11K
[   ]py3-businesstime-0.3.0-r9.apk2024-04-15 23:03 11K
[   ]py3-py-radix-pyc-0.10.0-r9.apk2024-04-15 23:03 11K
[   ]psi-notify-1.3.1-r0.apk2023-04-09 14:03 11K
[   ]snapper-dev-0.11.0-r0.apk2024-05-14 14:12 11K
[   ]lem-common-2.2.0-r0.apk2024-04-29 17:01 11K
[   ]py3-phpserialize-pyc-1.3-r7.apk2024-04-15 23:03 11K
[   ]perl-promise-es6-0.28-r0.apk2024-01-19 01:18 11K
[   ]py3-riotctrl-pyc-0.5.0-r3.apk2024-04-15 23:03 11K
[   ]fff-2.2-r0.apk2022-06-15 22:50 11K
[   ]toapk-1.0-r0.apk2023-12-02 13:04 11K
[   ]py3-orderedmultidict-1.0.1-r6.apk2024-04-15 23:03 11K
[   ]gedit-dev-47.0-r0.apk2024-05-27 07:44 11K
[   ]xvkbd-doc-4.1-r2.apk2022-10-28 17:21 11K
[   ]libdng-0.1.1-r0.apk2024-01-21 23:37 11K
[   ]linuxkit-doc-1.2.0-r2.apk2024-05-19 01:28 11K
[   ]py3-flask-flatpages-0.8.2-r2.apk2024-04-15 23:03 11K
[   ]py3-click-completion-0.5.2-r1.apk2024-04-15 23:03 11K
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2023-12-04 09:23 11K
[   ]tick-1.2.0-r0.apk2024-05-31 15:22 11K
[   ]py3-rabbit-1.1.0-r7.apk2024-04-15 23:03 11K
[   ]perl-netaddr-mac-0.98-r1.apk2023-07-04 00:52 11K
[   ]py3-pytest-helpers-namespace-2021.12.29-r3.apk2024-04-15 23:03 11K
[   ]tldr-python-client-3.2.0-r1.apk2024-04-15 23:03 11K
[   ]qstardict-doc-1.3-r1.apk2022-10-28 17:21 11K
[   ]py3-ovos-phal-plugin-network-manager-1.1.0-r1.apk2024-04-15 23:03 11K
[   ]hare-http-0_git20240304-r0.apk2024-05-08 01:02 11K
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r8.apk2024-04-15 23:03 11K
[   ]py3-pytest-regtest-pyc-2.1.1-r1.apk2024-04-15 23:03 11K
[   ]thumbdrives-0.3.2-r2.apk2024-05-04 02:44 11K
[   ]py3-django-timezone-field-pyc-6.1.0-r2.apk2024-04-15 23:03 11K
[   ]php82-pecl-jsmin-3.0.0-r0.apk2023-09-18 00:07 11K
[   ]php81-pecl-jsmin-3.0.0-r0.apk2023-09-18 00:07 11K
[   ]php83-pecl-jsmin-3.0.0-r0.apk2023-09-18 00:07 11K
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-04-15 23:03 11K
[   ]py3-requests-kerberos-pyc-0.14.0-r4.apk2024-04-15 23:03 11K
[   ]py3-simber-0.2.6-r3.apk2024-04-15 23:03 11K
[   ]m17n-db-lang-1.8.5-r0.apk2023-11-23 22:18 11K
[   ]fcitx5-kkc-lang-5.1.3-r0.apk2024-05-10 05:34 11K
[   ]screenkey-doc-1.5-r6.apk2024-04-15 23:03 11K
[   ]wch-isp-0.4.1-r1.apk2024-01-19 19:40 11K
[   ]xwaylandvideobridge-lang-0.4.0-r1.apk2024-03-04 00:18 11K
[   ]git-quick-stats-2.5.6-r0.apk2024-05-19 17:27 11K
[   ]perl-anyevent-mqtt-1.212810-r0.apk2024-04-16 19:38 11K
[   ]perl-glib-object-introspection-doc-0.051-r0.apk2023-08-29 13:36 11K
[   ]neard-dev-0.19-r0.apk2023-09-19 21:49 11K
[   ]qml-asteroid-dev-2.0.0-r0.apk2023-08-31 11:41 11K
[   ]perl-getopt-long-descriptive-doc-0.114-r0.apk2024-01-27 22:40 11K
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-04-15 23:03 11K
[   ]lomiri-schemas-0.1.4-r1.apk2024-03-26 01:03 11K
[   ]py3-pygfm-2.0.0-r1.apk2024-04-15 23:03 11K
[   ]perl-nice-try-doc-1.3.10-r0.apk2024-03-26 12:44 11K
[   ]kirc-0.3.2-r0.apk2023-05-23 14:47 11K
[   ]py3-sphinxcontrib-phpdomain-0.11.2-r1.apk2024-04-15 23:03 11K
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-04-15 23:03 11K
[   ]py3-pam-2.0.2-r2.apk2024-04-15 23:03 11K
[   ]py3-lsp-mypy-pyc-0.6.8-r1.apk2024-04-15 23:03 11K
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-04-15 23:03 11K
[   ]perl-crypt-random-seed-0.03-r0.apk2024-01-15 21:58 11K
[   ]nuzzle-1.5-r0.apk2023-12-08 15:04 11K
[   ]py3-x-wr-timezone-0.0.7-r1.apk2024-04-15 23:03 11K
[   ]py3-pdal-pyc-3.2.3-r4.apk2024-04-15 23:03 11K
[   ]py3-fuzzywuzzy-0.18.0-r6.apk2024-04-15 09:15 11K
[   ]perl-anyevent-mqtt-doc-1.212810-r0.apk2024-04-16 19:38 11K
[   ]hsetroot-1.0.5-r1.apk2022-10-28 17:20 11K
[   ]py3-vdf-3.4-r1.apk2024-04-15 23:03 11K
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-04-15 23:03 11K
[   ]lrcalc-dev-2.1-r1.apk2023-05-15 18:46 11K
[   ]libcryptmount-2.20-r0.apk2023-12-23 13:59 11K
[   ]ocaml-ppx_derivers-dev-1.2.1-r2.apk2024-03-23 21:49 11K
[   ]lychee-doc-0.15.1-r0.apk2024-04-30 01:18 11K
[   ]mm-dev-1.4.2-r1.apk2017-03-20 17:29 11K
[   ]php81-pecl-zstd-0.13.3-r0.apk2024-04-11 02:39 11K
[   ]dasht-doc-2.4.0-r0.apk2023-12-24 04:12 11K
[   ]octoprint-filecheck-pyc-2024.3.27-r0.apk2024-04-16 02:38 11K
[   ]py3-ovos-ocp-news-plugin-0.0.3-r1.apk2024-04-15 23:03 11K
[   ]perl-xml-bare-doc-0.53-r12.apk2023-10-17 13:18 11K
[   ]lomiri-content-hub-dev-1.1.1-r0.apk2024-02-10 14:53 11K
[   ]py3-bson-0.5.10-r5.apk2024-04-15 23:03 11K
[   ]perl-devel-confess-0.009004-r0.apk2024-02-24 13:59 11K
[   ]libecap-dev-1.0.1-r1.apk2023-05-15 18:46 11K
[   ]bcnm-doc-0.0.1.7-r0.apk2023-11-06 22:49 11K
[   ]extrace-0.9-r0.apk2024-04-18 22:07 11K
[   ]py3-padacioso-0.2.1-r0.apk2024-05-31 08:16 11K
[   ]emacs-taxy-0.10.1-r0.apk2024-04-02 11:39 11K
[   ]setroot-2.0.2-r1.apk2022-10-28 17:21 11K
[   ]rofi-blocks-0_git20210123-r0.apk2022-02-01 19:26 11K
[   ]perl-net-mqtt-simple-doc-1.29-r0.apk2024-04-16 19:38 11K
[   ]lumina-desktop-doc-1.6.2-r0.apk2022-07-05 21:10 12K
[   ]py3-downloader-cli-0.3.4-r1.apk2024-04-15 23:03 12K
[   ]restart-services-0.17.0-r0.apk2021-12-18 23:53 12K
[   ]gnome-common-3.18.0-r3.apk2023-01-29 22:04 12K
[   ]pastebinc-0.9.1-r2.apk2022-10-28 17:21 12K
[   ]ptylie-0.2-r1.apk2022-10-28 17:21 12K
[   ]xlhtml-0.5.1-r0.apk2024-03-30 18:37 12K
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-01-17 13:12 12K
[   ]ddgr-doc-2.2-r0.apk2024-01-14 20:13 12K
[   ]py3-lsp-mypy-0.6.8-r1.apk2024-04-15 23:03 12K
[   ]java-jtharness-doc-6.0_p12-r0.apk2022-10-05 21:11 12K
[   ]py3-pygelbooru-pyc-0.5.0-r2.apk2023-04-25 16:57 12K
[   ]py3-django-timezone-field-6.1.0-r2.apk2024-04-15 23:03 12K
[   ]py3-recommonmark-0.7.1-r3.apk2024-04-15 23:03 12K
[   ]asteroid-flashlight-2.0.0-r0.apk2023-08-31 11:41 12K
[   ]py3-rfc-bibtex-0.3.2-r6.apk2024-04-15 23:03 12K
[   ]minidyndns-1.3.0-r3.apk2021-10-19 03:46 12K
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-02-05 17:12 12K
[   ]py3-highctidh-pyc-1.0.2024050500-r0.apk2024-05-06 02:44 12K
[   ]php81-pecl-opentelemetry-1.0.3-r0.apk2024-05-04 17:12 12K
[   ]perl-test-file-1.993-r1.apk2023-07-04 00:52 12K
[   ]py3-protego-pyc-0.3.0-r1.apk2024-04-15 23:03 12K
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-04-15 23:03 12K
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-04-15 23:03 12K
[   ]py3-riotctrl-0.5.0-r3.apk2024-04-15 23:03 12K
[   ]yamkix-pyc-0.10.0-r1.apk2024-04-15 23:03 12K
[   ]py3-simplesoapy-pyc-1.5.1-r6.apk2024-04-15 23:03 12K
[   ]lizardfs-doc-3.13.0-r13.apk2024-04-22 19:58 12K
[   ]perl-datetime-timezone-catalog-extend-0.3.1-r0.apk2024-02-24 13:59 12K
[   ]perl-ryu-async-doc-0.020-r0.apk2024-01-15 21:58 12K
[   ]php81-pecl-brotli-0.15.0-r2.apk2024-06-04 02:37 12K
[   ]py3-wifi-0.3.8-r6.apk2024-04-15 23:03 12K
[   ]tdrop-0.5.0-r0.apk2022-05-05 22:56 12K
[   ]perl-system-command-1.122-r0.apk2024-02-05 17:09 12K
[   ]espeakup-0.90-r2.apk2024-03-14 18:18 12K
[   ]time-1.9-r1.apk2022-10-28 17:21 12K
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-05-08 15:01 12K
[   ]ocaml-calendar-doc-2.04-r4.apk2024-03-23 21:49 12K
[   ]perl-test-roo-1.004-r3.apk2023-07-04 00:52 12K
[   ]py3-requests-kerberos-0.14.0-r4.apk2024-04-15 23:03 12K
[   ]dnstap-ldns-0.2.1-r0.apk2024-03-08 16:55 12K
[   ]m2r2-0.3.3-r2.apk2024-04-15 23:03 12K
[   ]ovpncc-0.1_rc1-r0.apk2023-03-16 02:57 12K
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-04-15 23:03 12K
[   ]php81-posix-8.1.29-r0.apk2024-06-06 22:04 12K
[   ]py3-git-versioner-7.1-r1.apk2024-04-15 23:03 12K
[   ]vmtouch-1.3.1-r0.apk2023-03-28 14:18 12K
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-04-15 23:03 12K
[   ]perl-net-mqtt-simple-1.29-r0.apk2024-04-16 19:38 12K
[   ]php81-tokenizer-8.1.29-r0.apk2024-06-06 22:04 12K
[   ]perl-promise-me-doc-0.4.11-r0.apk2024-04-27 10:33 12K
[   ]perl-class-accessor-grouped-0.10014-r2.apk2023-07-04 00:52 12K
[   ]pqiv-doc-2.12-r1.apk2022-10-28 17:21 12K
[   ]py3-dkimpy-doc-1.1.6-r0.apk2024-04-24 23:04 12K
[   ]py3-pybars3-0.9.7-r5.apk2024-04-15 23:03 12K
[   ]perl-promise-es6-doc-0.28-r0.apk2024-01-19 01:18 12K
[   ]perl-file-rename-doc-2.02-r0.apk2024-01-01 13:22 12K
[   ]asteroid-stopwatch-2.0.0-r0.apk2023-08-31 11:41 12K
[   ]enjoy-0.3-r1.apk2023-02-03 02:42 12K
[   ]jdebp-redo-doc-1.4-r1.apk2022-06-12 00:08 12K
[   ]vim-airline-doc-0.11-r0.apk2021-05-04 12:16 12K
[   ]ssss-0.5.7-r0.apk2021-10-29 08:42 12K
[   ]trafficserver9-plugin-authproxy-9.2.4-r0.apk2024-04-05 15:43 12K
[   ]catcodec-1.0.5-r2.apk2022-05-14 14:21 12K
[   ]perl-log-message-doc-0.08-r3.apk2023-07-04 00:52 12K
[   ]lomiri-history-service-dev-0.5-r0.apk2024-06-02 16:22 12K
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-01-15 21:58 12K
[   ]ddserver-0_git20200930-r1.apk2022-10-28 17:20 12K
[   ]ocaml-bigarray-compat-dev-1.1.0-r2.apk2024-03-23 21:49 12K
[   ]tcc-libs-static-0.9.27_git20240117-r0.apk2024-01-26 07:27 12K
[   ]py3-asif-0.3.2-r2.apk2024-04-15 23:03 12K
[   ]belcard-dev-5.3.38-r0.apk2024-04-15 09:15 12K
[   ]py3-incoming-0.3.1-r7.apk2024-04-15 23:03 12K
[   ]nlopt-dev-2.7.1-r0.apk2022-05-06 11:53 12K
[   ]py3-wtf-peewee-3.0.5-r1.apk2024-04-15 23:03 12K
[   ]perl-datetime-format-flexible-doc-0.34-r0.apk2024-01-14 16:55 12K
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-05-31 08:16 12K
[   ]tillitis-key1-apps-doc-0.0.6-r7.apk2024-05-19 01:29 12K
[   ]perl-uri-db-0.22-r0.apk2024-04-05 15:00 12K
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk2024-04-15 09:15 12K
[   ]rgxg-doc-0.1.2-r2.apk2023-05-15 18:46 12K
[   ]zsh-history-search-multi-word-0_git20230705-r0.apk2024-05-10 15:37 12K
[   ]legume-doc-1.4.2-r2.apk2024-05-19 01:28 12K
[   ]uclient-20210514-r0.apk2022-06-09 03:00 12K
[   ]rustic-fish-completion-0.6.1-r1.apk2024-01-08 10:43 12K
[   ]lottieconverter-0.2_git20231219-r0.apk2023-12-19 23:23 12K
[   ]perl-daemon-control-0.001010-r2.apk2023-07-04 00:52 12K
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-04-15 23:03 12K
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-01-15 15:28 12K
[   ]perl-xml-feed-doc-0.63-r0.apk2024-01-14 16:55 12K
[   ]asteroid-timer-2.0.0-r0.apk2023-08-31 11:41 12K
[   ]py3-rfc-bibtex-pyc-0.3.2-r6.apk2024-04-15 23:03 12K
[   ]soju-doc-0.7.0-r3.apk2024-05-19 01:29 12K
[   ]py3-queuelib-1.6.2-r4.apk2024-04-15 23:03 13K
[   ]py3-itemloaders-1.3.1-r0.apk2024-06-03 22:41 13K
[   ]xosview-doc-1.24-r0.apk2024-03-25 16:44 13K
[   ]py3-sphinxcontrib-doxylink-1.12.2-r2.apk2024-04-15 23:03 13K
[   ]py3-cobs-pyc-1.2.0-r3.apk2024-04-15 23:03 13K
[   ]powersupply-0.9.0-r0.apk2024-01-08 10:43 13K
[   ]perl-barcode-zbar-doc-0.10-r2.apk2023-07-04 00:52 13K
[   ]nvimpager-0.12.0-r0.apk2023-07-03 00:03 13K
[   ]py3-libiio-0.25-r1.apk2024-04-15 23:03 13K
[   ]perl-json-path-doc-1.0.6-r0.apk2024-04-27 10:33 13K
[   ]geonames-doc-0.3.1-r1.apk2024-04-17 17:31 13K
[   ]py3-remind-0.18.0-r3.apk2024-04-15 23:03 13K
[   ]clatd-1.6-r0.apk2023-04-18 01:02 13K
[   ]rtptools-doc-1.22-r2.apk2022-10-28 17:21 13K
[   ]tpp-bypass-0.8.4-r0.apk2022-10-13 05:21 13K
[   ]rlottie-doc-0.2_git20230831-r0.apk2023-12-18 15:55 13K
[   ]py3-telegram-0.18.0-r2.apk2024-04-15 23:03 13K
[   ]perl-ev-hiredis-0.07-r0.apk2024-01-17 13:17 13K
[   ]py3-venusian-pyc-3.1.0-r1.apk2024-04-15 23:03 13K
[   ]py3-crc16-0.1.1-r10.apk2024-04-15 23:03 13K
[   ]kubesplit-0.3.3-r1.apk2024-04-15 23:03 13K
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-04-18 15:58 13K
[   ]py3-pyscreeze-0.1.29-r2.apk2024-04-15 23:03 13K
[   ]php81-tideways_xhprof-5.0.4-r1.apk2022-05-10 22:26 13K
[   ]py3-itemadapter-pyc-0.8.0-r2.apk2024-04-15 23:03 13K
[   ]perl-list-binarysearch-xs-0.09-r0.apk2024-01-15 21:58 13K
[   ]py3-us-3.1.1-r1.apk2024-04-15 23:03 13K
[   ]termbox-1.1.2-r1.apk2023-05-15 18:46 13K
[   ]mcqd-1.0.0-r1.apk2023-08-01 17:19 13K
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2023-07-04 00:52 13K
[   ]wlavu-0_git20201101-r1.apk2022-10-28 17:21 13K
[   ]catfish-doc-4.18.0-r2.apk2024-04-15 23:03 13K
[   ]py3-flask-cache-0.13.1-r9.apk2024-04-15 23:03 13K
[   ]py3-actdiag-3.0.0-r3.apk2023-04-22 00:34 13K
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-04-15 23:03 13K
[   ]darts-clone-dev-0_git20181117-r0.apk2022-01-27 02:59 13K
[   ]faultstat-0.01.11-r0.apk2024-01-13 14:44 13K
[   ]xva-img-1.4.2-r1.apk2022-08-04 10:49 13K
[   ]mint-themes-doc-2.1.1-r0.apk2023-06-17 00:20 13K
[   ]perl-net-mqtt-1.163170-r0.apk2024-04-16 19:38 13K
[   ]imapfilter-doc-2.8.2-r0.apk2023-12-31 20:41 13K
[   ]utop-emacs-2.9.1-r4.apk2024-04-04 12:39 13K
[   ]glmark2-doc-2023.01-r0.apk2023-05-18 23:55 13K
[   ]libcyaml-dev-1.4.1-r2.apk2024-01-12 01:42 13K
[   ]planarity-doc-3.0.2.0-r2.apk2023-08-01 17:19 13K
[   ]octoprint-pisupport-pyc-2023.10.10-r0.apk2024-04-16 02:38 13K
[   ]perl-email-abstract-doc-3.010-r0.apk2023-09-03 13:01 13K
[   ]devil-dev-1.8.0-r0.apk2023-09-12 12:41 13K
[   ]fdm-doc-2.2-r0.apk2023-03-19 06:16 13K
[   ]php81-pdo_odbc-8.1.29-r0.apk2024-06-06 22:04 13K
[   ]lrcalc-2.1-r1.apk2023-05-15 18:46 13K
[   ]perl-mixin-event-dispatch-doc-2.000-r0.apk2024-01-03 19:23 13K
[   ]php81-pecl-xhprof-2.3.9-r3.apk2024-06-04 02:37 13K
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-04-15 23:03 13K
[   ]kubesplit-pyc-0.3.3-r1.apk2024-04-15 23:03 13K
[   ]py3-pam-pyc-2.0.2-r2.apk2024-04-15 23:03 13K
[   ]speedtest-examples-5.2.5-r1.apk2023-09-04 14:28 13K
[   ]php81-pdo_dblib-8.1.29-r0.apk2024-06-06 22:04 13K
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-04-18 15:58 13K
[   ]makeself-2.5.0-r0.apk2023-06-17 00:20 13K
[   ]php81-xmlwriter-8.1.29-r0.apk2024-06-06 22:04 13K
[   ]libhwpwm-doc-0.4.4-r0.apk2023-09-28 20:50 13K
[   ]py3-keepalive-pyc-0.5-r5.apk2024-04-15 09:15 13K
[   ]ip2location-dev-8.6.1-r0.apk2023-06-17 00:19 13K
[   ]luksmeta-9-r0.apk2022-06-17 14:01 13K
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2021-02-03 22:33 13K
[   ]py3-discid-pyc-1.2.0-r5.apk2024-04-15 23:03 13K
[   ]libdatrie-libs-0.2.13-r0.apk2022-01-27 01:31 13K
[   ]stubby-doc-0.4.3-r0.apk2023-02-23 02:12 13K
[   ]ocaml-bigarray-compat-1.1.0-r2.apk2024-03-23 21:49 13K
[   ]mp3val-0.1.8-r1.apk2022-10-14 17:08 13K
[   ]termbox-static-1.1.2-r1.apk2023-05-15 18:46 13K
[   ]fzy-1.0-r3.apk2022-10-28 17:20 13K
[   ]mautrix-discord-doc-0.6.5-r4.apk2024-05-19 01:28 13K
[   ]py3-pydes-pyc-2.0.1-r4.apk2024-04-15 09:15 13K
[   ]apt-dater-lang-1.0.4-r3.apk2023-04-30 23:30 13K
[   ]mautrix-signal-doc-0.6.1-r1.apk2024-05-19 01:28 13K
[   ]barrier-doc-2.4.0-r1.apk2022-08-04 23:45 13K
[   ]mautrix-slack-doc-0_git20230925-r4.apk2024-05-19 01:28 13K
[   ]apk-autoupdate-0_git20210421-r0.apk2022-01-15 23:17 13K
[   ]mautrix-gmessages-doc-0.4.1-r1.apk2024-05-19 01:28 13K
[   ]mautrix-meta-doc-0.3.1-r1.apk2024-05-19 01:28 13K
[   ]py3-pygfm-pyc-2.0.0-r1.apk2024-04-15 23:03 13K
[   ]ledmon-doc-0.97-r1.apk2023-12-18 11:40 13K
[   ]py3-firmata-1.0.3-r9.apk2024-04-15 23:03 13K
[   ]tcmu-runner-rbd-1.6.0-r5.apk2023-09-01 09:39 13K
[   ]libecap-1.0.1-r1.apk2023-05-15 18:46 13K
[   ]py3-janus-pyc-1.0.0-r3.apk2024-04-15 16:59 13K
[   ]php81-pdo_sqlite-8.1.29-r0.apk2024-06-06 22:04 13K
[   ]startup-tools-2.0.3-r4.apk2023-07-03 00:04 13K
[   ]himitsu-keyring-0.2.0-r0.apk2022-08-26 22:05 13K
[   ]flux-bash-completion-2.2.3-r3.apk2024-05-19 01:28 13K
[   ]wmctrl-1.07-r1.apk2022-02-18 15:44 13K
[   ]mpdcron-doc-0.3-r1.apk2022-10-28 17:21 13K
[   ]libiio-dev-0.25-r1.apk2024-04-15 23:03 13K
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-04-15 23:03 13K
[   ]php81-pdo_mysql-8.1.29-r0.apk2024-06-06 22:04 13K
[   ]py3-flask-flatpages-pyc-0.8.2-r2.apk2024-04-15 23:03 13K
[   ]py3-i18naddress-pyc-3.1.0-r2.apk2024-04-15 23:03 13K
[   ]py3-pep8-naming-pyc-0.13.3-r2.apk2024-04-15 23:03 13K
[   ]asteroid-compass-2.0.0-r0.apk2023-08-31 11:41 13K
[   ]perl-starman-0.4017-r0.apk2023-09-14 12:55 13K
[   ]py3-colored-1.4.4-r2.apk2024-04-15 23:03 13K
[   ]fcitx5-qt-lang-5.1.6-r0.apk2024-05-10 05:34 13K
[   ]php81-pecl-memprof-3.0.2-r0.apk2022-01-08 03:49 13K
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-01-12 14:36 13K
[   ]py3-iniparse-0.5-r6.apk2024-04-15 23:03 14K
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-04-15 23:03 14K
[   ]xfd-1.1.4-r0.apk2022-12-04 10:12 14K
[   ]tldr-python-client-pyc-3.2.0-r1.apk2024-04-15 23:03 14K
[   ]py3-glob2-pyc-0.7-r5.apk2023-04-23 21:07 14K
[   ]junit2html-0.2.0-r3.apk2024-04-15 23:03 14K
[   ]tree-sitter-git-commit-0_git20211225-r2.apk2023-11-15 22:53 14K
[   ]turn-rs-doc-2.1.3-r0.apk2024-04-18 16:02 14K
[   ]py3-unidns-0.0.1-r2.apk2024-04-15 23:03 14K
[   ]libmdf-dev-1.0.27-r0.apk2023-05-27 13:04 14K
[   ]afetch-doc-2.2.0-r1.apk2022-10-28 17:20 14K
[   ]pipeline-doc-1.15.0-r0.apk2024-05-29 00:45 14K
[   ]tuptime-5.2.2-r3.apk2023-07-03 00:04 14K
[   ]hikari-doc-2.3.3-r6.apk2024-02-02 22:16 14K
[   ]py3-pysrt-1.1.2-r3.apk2024-04-15 23:03 14K
[   ]lsdvd-0.17-r0.apk2023-01-31 21:08 14K
[   ]seaweedfs-doc-3.63-r2.apk2024-05-19 01:29 14K
[   ]mpdris2-rs-doc-0.2.3-r0.apk2024-03-05 22:05 14K
[   ]ocaml-mirage-net-4.0.0-r3.apk2024-03-23 21:49 14K
[   ]py3-flask-migrate-4.0.5-r1.apk2024-04-15 23:03 14K
[   ]php81-xsl-8.1.29-r0.apk2024-06-06 22:04 14K
[   ]py3-jaraco.vcs-pyc-2.2.0-r0.apk2024-05-13 09:58 14K
[   ]peg-doc-0.1.18-r1.apk2022-10-28 17:21 14K
[   ]please-doc-0.4.2-r2.apk2023-05-24 16:04 14K
[   ]libcorkipset-1.1.1-r4.apk2023-10-30 11:37 14K
[   ]perl-cpan-changes-0.500003-r0.apk2024-03-14 18:21 14K
[   ]py3-editdistance-s-1.0.0-r5.apk2024-04-15 23:03 14K
[   ]wbg-1.1.0-r0.apk2022-10-28 17:21 14K
[   ]wabt-doc-1.0.34-r0.apk2023-10-31 00:11 14K
[   ]py3-pydantic-settings-2.2.1-r0.apk2024-05-24 15:06 14K
[   ]steghide-doc-0.5.1.1-r0.apk2024-04-15 09:15 14K
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-03-23 21:49 14K
[   ]mcman-doc-0.4.4-r0.apk2024-02-09 02:01 14K
[   ]gr-satellites-dev-5.5.0-r1.apk2024-04-29 18:49 14K
[   ]py3-wifi-pyc-0.3.8-r6.apk2024-04-15 23:03 14K
[   ]asteroid-hrm-2.0.0-r0.apk2023-08-31 11:41 14K
[   ]aide-doc-0.18.8-r0.apk2024-05-10 17:20 14K
[   ]tere-doc-1.5.1-r0.apk2023-08-26 18:38 14K
[   ]openslide-tools-3.4.1-r3.apk2023-08-01 17:19 14K
[   ]py3-snapshottest-0.6.0-r4.apk2024-04-19 16:05 14K
[   ]readosm-1.1.0-r2.apk2021-09-28 00:25 14K
[   ]perl-net-netmask-2.0002-r2.apk2024-01-08 10:42 14K
[   ]slurm-0.4.4-r0.apk2022-05-02 02:14 14K
[   ]tmux-resurrect-4.0.0-r0.apk2022-09-21 10:37 14K
[   ]perl-xml-feed-0.63-r0.apk2024-01-14 16:55 14K
[   ]perl-database-async-engine-postgresql-1.004-r0.apk2024-01-17 13:12 14K
[   ]py3-sortedcollections-pyc-2.1.0-r4.apk2024-04-15 23:03 14K
[   ]py3-uritools-pyc-4.0.2-r1.apk2024-04-15 23:03 14K
[   ]py3-venusian-3.1.0-r1.apk2024-04-15 23:03 14K
[   ]php81-xmlreader-8.1.29-r0.apk2024-06-06 22:04 14K
[   ]py3-pprintpp-0.4.0-r1.apk2024-04-15 23:03 14K
[   ]ocaml-mirage-time-3.0.0-r4.apk2024-03-23 21:49 14K
[   ]perl-cairo-doc-1.109-r3.apk2023-07-04 00:52 14K
[   ]py3-halo-pyc-0.0.31-r4.apk2024-04-15 23:03 14K
[   ]py3-euclid3-0.01-r7.apk2024-04-15 23:03 14K
[   ]wshowkeys-1.0-r0.apk2022-02-20 17:32 14K
[   ]sqlar-0_git20180107-r1.apk2022-10-28 17:21 14K
[   ]tanidvr-dhav2mkv-1.4.1-r1.apk2022-10-14 17:08 14K
[   ]perl-graphql-client-doc-0.605-r0.apk2024-01-19 00:46 14K
[   ]perl-syntax-keyword-match-0.14-r0.apk2024-05-01 14:52 14K
[   ]py3-bite-parser-0.2.4-r1.apk2024-04-15 23:03 14K
[   ]lemonbar-1.4-r1.apk2022-10-28 17:20 14K
[   ]perl-snmp-doc-5.0404-r12.apk2023-07-04 00:52 14K
[   ]py3-flake8-builtins-2.1.0-r3.apk2024-04-15 23:03 14K
[   ]py3-spidev-3.6-r0.apk2022-12-09 04:40 14K
[   ]gammastep-doc-2.0.9-r3.apk2024-04-15 23:03 14K
[   ]qdjango-dev-0.6.2-r0.apk2023-11-06 18:37 14K
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r4.apk2024-04-15 23:03 14K
[   ]cddlib-dev-0.94m-r2.apk2023-08-01 17:19 14K
[   ]autotrash-pyc-0.4.6-r1.apk2024-04-15 09:15 14K
[   ]subdl-pyc-0_git20230616-r1.apk2024-04-15 23:03 14K
[   ]rtmidi-dev-6.0.0-r0.apk2023-08-07 11:01 14K
[   ]php81-pcntl-8.1.29-r0.apk2024-06-06 22:04 14K
[   ]biometryd-dev-0.3.1-r1.apk2024-05-22 20:34 14K
[   ]river-doc-0.3.2-r0.apk2024-05-31 00:34 14K
[   ]par-1.53.0-r1.apk2022-10-28 17:21 14K
[   ]fcitx5-unikey-lang-5.1.4-r0.apk2024-05-10 05:34 14K
[   ]mspdebug-doc-0.25-r1.apk2022-10-28 17:21 14K
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-04-15 23:03 14K
[   ]yamkix-0.10.0-r1.apk2024-04-15 23:03 14K
[   ]py3-pyscreeze-pyc-0.1.29-r2.apk2024-04-15 23:03 14K
[   ]dasht-2.4.0-r0.apk2023-12-24 04:12 14K
[   ]watchdog-doc-5.16-r1.apk2023-03-16 02:58 14K
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-04-15 23:03 14K
[   ]dnsfunnel-0.0.1.6-r0.apk2023-11-06 22:49 14K
[   ]py3-sphinx-argparse-0.4.0-r3.apk2024-04-15 23:03 14K
[   ]py3-downloader-cli-pyc-0.3.4-r1.apk2024-04-15 23:03 14K
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-04-15 23:03 14K
[   ]ideviceinstaller-1.1.1-r3.apk2023-05-15 04:50 14K
[   ]gmid-doc-2.0.3-r0.apk2024-06-04 19:07 14K
[   ]xed-dev-3.4.5-r0.apk2024-01-08 10:43 14K
[   ]perl-bytes-random-secure-0.29-r0.apk2024-01-15 21:58 14K
[   ]py3-urlobject-2.4.3-r8.apk2024-04-15 23:03 14K
[   ]mm-doc-1.4.2-r1.apk2017-03-20 17:29 14K
[   ]trafficserver9-plugin-xdebug-9.2.4-r0.apk2024-04-05 15:43 14K
[   ]sqawk-0.24.0-r0.apk2024-05-24 18:25 14K
[   ]mdnsd-doc-0.12-r1.apk2023-05-15 18:46 14K
[   ]php81-calendar-8.1.29-r0.apk2024-06-06 22:04 14K
[   ]listenbrainz-mpd-doc-2.3.7-r0.apk2024-06-05 19:57 14K
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2023-04-24 15:20 14K
[   ]mangr0ve-doc-0.1.2-r0.apk2024-01-29 03:19 14K
[   ]py3-evohome-client-0.3.7-r3.apk2024-04-15 23:03 15K
[   ]b2sum-20190729-r2.apk2022-10-28 17:20 15K
[   ]trafficserver9-plugin-regexremap-9.2.4-r0.apk2024-04-05 15:43 15K
[   ]hexdiff-0.0.53-r2.apk2022-10-28 17:20 15K
[   ]manticore-doc-6.2.12-r1.apk2024-05-24 04:46 15K
[   ]nodejs18-doc-18.19.1-r0.apk2024-03-14 18:21 15K
[   ]perl-test-files-doc-0.26-r0.apk2024-03-09 07:50 15K
[   ]perl-test-modern-0.013-r3.apk2023-07-04 00:52 15K
[   ]emacs-fossil-0_git20230504-r0.apk2024-05-05 08:26 15K
[   ]rgxg-0.1.2-r2.apk2023-05-15 18:46 15K
[   ]asteroid-music-2.0.0-r0.apk2023-08-31 11:41 15K
[   ]usbguard-doc-1.1.2-r8.apk2024-01-03 20:29 15K
[   ]xcompmgr-1.1.9-r0.apk2022-11-12 21:48 15K
[   ]xdg-user-dirs-gtk-0.11-r2.apk2023-10-31 12:12 15K
[   ]py3-pockethernet-0.7.0-r3.apk2024-04-15 23:03 15K
[   ]py3-fuzzywuzzy-pyc-0.18.0-r6.apk2024-04-15 09:15 15K
[   ]qmk-cli-1.1.5-r1.apk2024-04-15 23:03 15K
[   ]py3-proxmoxer-2.0.1-r3.apk2024-04-15 23:03 15K
[   ]git-secret-0.5.0-r0.apk2022-10-28 22:14 15K
[   ]lrzsz-doc-0.12.20-r2.apk2022-10-28 17:21 15K
[   ]cmusfm-0.5.0-r0.apk2023-07-21 23:13 15K
[   ]py3-itunespy-pyc-1.6-r3.apk2024-04-15 23:03 15K
[   ]kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk2023-07-03 00:03 15K
[   ]libhx-dev-4.21-r0.apk2023-12-23 13:59 15K
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2023-07-04 00:52 15K
[   ]commoncpp-doc-7.0.1-r1.apk2022-08-04 10:46 15K
[   ]geomyidae-0.34-r2.apk2022-10-28 17:20 15K
[   ]perl-number-tolerant-1.710-r0.apk2023-08-10 13:42 15K
[   ]mpdris2-doc-0.9.1-r3.apk2022-07-28 01:53 15K
[   ]rinetd-0.73-r0.apk2023-12-09 23:48 15K
[   ]sopwith-doc-2.5.0-r0.apk2024-05-05 22:23 15K
[   ]belr-dev-5.3.38-r0.apk2024-04-15 09:15 15K
[   ]nkk-0_git20221010-r0.apk2023-02-23 20:39 15K
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r4.apk2024-04-15 23:03 15K
[   ]libbraiding-dev-1.2-r2.apk2023-08-01 17:19 15K
[   ]py3-aiosasl-0.5.0-r3.apk2024-04-15 23:03 15K
[   ]py3-us-pyc-3.1.1-r1.apk2024-04-15 23:03 15K
[   ]py3-pygtail-0.14.0-r3.apk2024-04-15 23:03 15K
[   ]vile-doc-9.8z-r0.apk2024-01-26 03:25 15K
[   ]plattenalbum-lang-2.1.0-r0.apk2024-05-07 21:49 15K
[   ]ocaml-randomconv-0.1.3-r2.apk2024-03-23 21:49 15K
[   ]time-doc-1.9-r1.apk2022-10-28 17:21 15K
[   ]aptdec-libs-1.8.0-r0.apk2023-02-22 13:07 15K
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-04-15 23:03 15K
[   ]perl-glib-ex-objectbits-17-r0.apk2024-05-20 09:17 15K
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.1-r0.apk2024-02-24 13:59 15K
[   ]tree-sitter-just-0_git20230318-r0.apk2023-12-23 13:59 15K
[   ]perl-getopt-long-descriptive-0.114-r0.apk2024-01-27 22:40 15K
[   ]tpm2-tools-bash-completion-5.6-r0.apk2024-02-01 02:07 15K
[   ]py3-googletrans-3.0.0-r4.apk2024-04-17 04:54 15K
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-04-15 23:03 15K
[   ]py3-aiohttp-session-pyc-2.12.0-r3.apk2024-04-15 23:03 15K
[   ]ocaml-hkdf-1.0.4-r2.apk2024-03-23 21:49 15K
[   ]opensmtpd-filter-dkimsign-0.6-r1.apk2022-08-04 23:46 15K
[   ]mpdris2-0.9.1-r3.apk2022-07-28 01:53 15K
[   ]py3-intervals-pyc-0.9.2-r4.apk2024-04-15 23:03 15K
[   ]fatresize-doc-1.1.0-r1.apk2022-10-28 17:20 15K
[   ]kerberoast-pyc-0.2.0-r1.apk2024-04-15 23:03 15K
[   ]tinycbor-0.6.0-r1.apk2022-11-18 02:56 15K
[   ]bwrap-oci-0.2-r1.apk2022-10-28 17:20 15K
[   ]perl-number-format-1.76-r1.apk2023-07-04 00:52 15K
[   ]xa-doc-2.3.14-r0.apk2023-03-18 22:44 15K
[   ]moderncli-dev-0.8.1-r0.apk2024-02-13 22:03 15K
[   ]perl-dns-unbound-doc-0.29-r0.apk2024-04-16 19:38 15K
[   ]hx-1.0.14-r0.apk2023-11-06 18:36 15K
[   ]libhomfly-1.02_p6-r1.apk2024-03-30 07:39 15K
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2023-07-04 00:52 15K
[   ]blip-0.10-r0.apk2019-06-14 19:30 15K
[   ]py3-sphinxcontrib-spelling-8.0.0-r3.apk2024-04-15 23:03 15K
[   ]cm256cc-dev-1.1.1-r0.apk2024-04-26 17:07 15K
[   ]virter-doc-0.27.0-r1.apk2024-05-19 01:29 15K
[   ]ocaml-seq-0.3.1-r2.apk2024-03-23 21:50 15K
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-04-15 23:03 15K
[   ]py3-teletype-1.3.4-r3.apk2024-04-15 23:03 15K
[   ]py3-ecos-2.0.11-r3.apk2024-04-15 23:03 15K
[   ]kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk2023-07-03 00:03 15K
[   ]py3-pymeta3-0.5.1-r5.apk2024-04-15 23:03 15K
[   ]perl-test-roo-doc-1.004-r3.apk2023-07-04 00:52 15K
[   ]zarchive-0.1.2-r2.apk2023-08-07 22:56 16K
[   ]py3-rabbit-pyc-1.1.0-r7.apk2024-04-15 23:03 16K
[   ]emacs-hackernews-0.7.0-r0.apk2024-04-02 11:39 16K
[   ]libsimpleble-c-0.6.1-r1.apk2023-07-30 00:01 16K
[   ]py3-zope-i18nmessageid-6.1.0-r1.apk2024-04-15 23:03 16K
[   ]xiccd-0.3.0_git20211219-r1.apk2022-06-16 20:09 16K
[   ]m2r2-pyc-0.3.3-r2.apk2024-04-15 23:03 16K
[   ]gtk4-layer-shell-1.0.2-r0.apk2023-11-12 13:21 16K
[   ]distrobuilder-doc-2.1-r17.apk2024-05-19 01:28 16K
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-04-11 02:39 16K
[   ]perl-future-http-doc-0.17-r0.apk2024-04-15 09:15 16K
[   ]libcorkipset-tools-1.1.1-r4.apk2023-10-30 11:37 16K
[   ]xkb-switch-1.8.5-r0.apk2021-12-11 18:53 16K
[   ]epr-2.4.15-r1.apk2024-04-15 23:03 16K
[   ]blackbox-1.20220610-r0.apk2022-12-22 19:14 16K
[   ]libvisio2svg-0.5.5-r3.apk2023-04-30 23:30 16K
[   ]perl-archive-extract-0.88-r1.apk2023-07-04 00:52 16K
[   ]py3-dpath-2.1.6-r1.apk2024-04-15 23:03 16K
[   ]perl-net-pcap-doc-0.21-r0.apk2024-04-16 19:38 16K
[   ]perl-indirect-0.39-r0.apk2024-01-15 21:58 16K
[   ]randrctl-1.9.0-r5.apk2024-04-15 23:03 16K
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-05-08 00:00 16K
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-03-23 21:49 16K
[   ]ampy-1.1.0-r5.apk2024-04-15 09:15 16K
[   ]py3-parver-0.5-r1.apk2024-04-15 16:26 16K
[   ]sacc-1.07-r0.apk2023-11-06 18:37 16K
[   ]zile-doc-2.6.2-r0.apk2022-09-20 02:18 16K
[   ]zsh-fzf-tab-0_git20220331-r0.apk2022-05-27 18:35 16K
[   ]visidata-doc-2.11.1-r2.apk2024-04-15 23:03 16K
[   ]py3-sphinxcontrib-programoutput-0.17-r4.apk2024-04-15 23:03 16K
[   ]perl-xml-atom-doc-0.43-r0.apk2024-01-14 16:55 16K
[   ]dvdbackup-0.4.2-r1.apk2022-10-14 17:08 16K
[   ]py3-recurring-ical-events-pyc-2.2.1-r1.apk2024-04-15 23:03 16K
[   ]perl-mojo-sqlite-3.009-r0.apk2024-01-12 14:36 16K
[   ]py3-rpio-pyc-0.10.1-r7.apk2024-04-15 23:03 16K
[   ]coccinelle-doc-1.1.1-r2.apk2024-04-18 13:11 16K
[   ]aravis-viewer-lang-0.8.31-r0.apk2024-03-16 18:38 16K
[   ]asteroid-calculator-2.0.0-r0.apk2023-08-31 11:41 16K
[   ]py3-testresources-2.0.1-r5.apk2023-04-25 16:57 16K
[   ]hyx-2021.06.09-r0.apk2023-01-17 19:52 16K
[   ]wok-lang-3.0.0-r6.apk2024-04-15 23:03 16K
[   ]kodi-game-libretro-theodore-0.0.1.32-r0.apk2023-07-03 00:03 16K
[   ]pfetch-0.6.0-r1.apk2022-10-28 17:21 16K
[   ]fatback-doc-1.3-r2.apk2022-10-28 17:20 16K
[   ]py3-discogs-client-2.7-r2.apk2024-04-15 23:03 16K
[   ]wlclock-1.0.1-r0.apk2021-12-31 01:27 16K
[   ]plzip-doc-1.11-r0.apk2024-01-25 16:53 16K
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2023-11-29 09:20 16K
[   ]sandbar-0.1-r0.apk2023-07-03 00:03 16K
[   ]perl-json-path-1.0.6-r0.apk2024-04-27 10:33 16K
[   ]belcard-5.3.38-r0.apk2024-04-15 09:15 16K
[   ]py3-ciso8601-2.3.1-r1.apk2024-04-15 23:03 16K
[   ]py3-u-msgpack-pyc-2.8.0-r1.apk2024-04-17 04:54 16K
[   ]libimobiledevice-glue-1.0.0-r1.apk2023-07-30 00:01 16K
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-04-15 23:03 16K
[   ]trafficserver9-plugin-certifier-9.2.4-r0.apk2024-04-05 15:43 16K
[   ]py3-flask-mailman-1.0.0-r1.apk2024-04-15 23:03 16K
[   ]php81-bcmath-8.1.29-r0.apk2024-06-06 22:04 16K
[   ]py3-barcodenumber-0.2.1-r10.apk2024-04-15 23:03 16K
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-04-15 23:03 16K
[   ]perl-git-repository-1.325-r0.apk2024-02-05 17:09 16K
[   ]py3-preggy-1.4.4-r4.apk2024-04-15 23:03 16K
[   ]pegasus-frontend-doc-13_alpha-r2.apk2023-05-05 04:35 16K
[   ]linuxptp-timemaster-4.2-r0.apk2023-12-20 19:54 16K
[   ]py3-simber-pyc-0.2.6-r3.apk2024-04-15 23:03 16K
[   ]kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk2023-07-03 00:03 16K
[   ]trafficserver9-plugin-backgroundfetch-9.2.4-r0.apk2024-04-05 15:43 16K
[   ]xmppipe-0.16.0-r1.apk2024-02-01 22:08 16K
[   ]rinetd-doc-0.73-r0.apk2023-12-09 23:48 16K
[   ]gpscorrelate-lang-2.0_git20230605-r0.apk2023-06-17 00:19 16K
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.2-r2.apk2024-04-15 23:03 16K
[   ]py3-aiosasl-doc-0.5.0-r3.apk2024-04-15 23:03 16K
[   ]wf-config-dev-0.8.0-r0.apk2023-11-26 00:42 17K
[   ]py3-imageio-ffmpeg-0.4.9-r0.apk2023-10-05 23:11 17K
[   ]php83-pecl-vld-0.18.0-r1.apk2024-02-03 00:57 17K
[   ]perl-mojo-pg-4.27-r0.apk2024-01-17 13:12 17K
[   ]py3-vdf-pyc-3.4-r1.apk2024-04-15 23:03 17K
[   ]logc-argp-0.5.0-r0.apk2023-11-18 18:32 17K
[   ]py3-pymaging-0.0.20130908-r9.apk2024-04-15 23:03 17K
[   ]py3-pylru-1.2.1-r1.apk2024-04-15 23:03 17K
[   ]startup-lang-2.0.3-r4.apk2023-07-03 00:04 17K
[   ]mdp-1.0.15-r1.apk2022-10-28 17:21 17K
[   ]php82-pecl-vld-0.18.0-r0.apk2022-09-16 13:34 17K
[   ]paperkey-1.6-r2.apk2023-12-19 15:55 17K
[   ]py3-itemloaders-pyc-1.3.1-r0.apk2024-06-03 22:41 17K
[   ]py3-testresources-pyc-2.0.1-r5.apk2023-04-25 16:57 17K
[   ]py3-funcparserlib-1.0.1-r4.apk2024-04-15 23:03 17K
[   ]xfce4-diskperf-plugin-2.7.0-r0.apk2023-08-03 06:58 17K
[   ]fnf-0.1-r0.apk2024-03-09 08:45 17K
[   ]py3-wsgiprox-1.5.2-r0.apk2023-10-29 01:53 17K
[   ]gsimplecal-2.5.1-r0.apk2024-02-10 02:12 17K
[   ]kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk2023-07-03 00:03 17K
[   ]py3-soapy_power-1.6.1-r4.apk2024-04-15 23:03 17K
[   ]perl-getopt-tabular-doc-0.3-r4.apk2023-07-04 00:52 17K
[   ]ocaml-trie-1.0.0-r2.apk2024-03-23 21:50 17K
[   ]py3-feedgenerator-2.1.0-r1.apk2024-04-15 23:03 17K
[   ]tang-14-r0.apk2023-07-23 16:03 17K
[   ]perl-adapter-async-doc-0.019-r0.apk2024-01-17 13:12 17K
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-04-15 23:03 17K
[   ]perl-protocol-xmpp-0.006-r0.apk2024-01-16 20:43 17K
[   ]py3-aioopenssl-0.6.0-r3.apk2024-04-15 23:03 17K
[   ]py3-sphinxcontrib-phpdomain-pyc-0.11.2-r1.apk2024-04-15 23:03 17K
[   ]bcnm-dev-0.0.1.7-r0.apk2023-11-06 22:49 17K
[   ]py3-truststore-0.9.1-r0.apk2024-06-03 22:42 17K
[   ]py3-pybars3-pyc-0.9.7-r5.apk2024-04-15 23:03 17K
[   ]gammastep-pyc-2.0.9-r3.apk2024-04-15 23:03 17K
[   ]dfl-login1-0.2.0-r0.apk2023-12-30 14:27 17K
[   ]hping3-doc-20051105-r4.apk2017-07-13 16:26 17K
[   ]xmag-1.0.7-r1.apk2023-08-01 17:20 17K
[   ]py3-colored-pyc-1.4.4-r2.apk2024-04-15 23:03 17K
[   ]ocp-indent-doc-1.8.2-r2.apk2024-03-23 21:50 17K
[   ]mkg3a-0.5.0-r1.apk2022-10-28 17:21 17K
[   ]xvidtune-1.0.4-r0.apk2023-02-05 01:37 17K
[   ]dislocker-0.7.3-r5.apk2024-04-15 09:15 17K
[   ]libgedit-gfls-doc-0.1.0-r0.apk2024-05-27 07:44 17K
[   ]bcnm-0.0.1.7-r0.apk2023-11-06 22:49 17K
[   ]tkts-2.0-r0.apk2023-12-02 13:05 17K
[   ]git-secret-doc-0.5.0-r0.apk2022-10-28 22:14 17K
[   ]diceware-pyc-0.10-r1.apk2024-04-15 23:03 17K
[   ]py3-bencode-4.0.0-r1.apk2024-04-15 23:03 17K
[   ]py3-svgpath-6.3-r2.apk2024-04-15 23:03 17K
[   ]pam_mount-doc-2.20-r0.apk2023-12-23 13:59 17K
[   ]phonon-backend-vlc-lang-0.12.0-r0.apk2023-11-06 18:37 17K
[   ]py3-libacl-0.7.0-r1.apk2024-04-15 23:03 17K
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-04-15 23:03 17K
[   ]py3-agithub-2.2.2-r5.apk2024-04-15 23:03 17K
[   ]spvm-mime-base64-1.001003-r0.apk2024-04-11 02:39 17K
[   ]twemproxy-doc-0.5.0-r0.apk2022-04-30 10:20 17K
[   ]py3-orderedmultidict-pyc-1.0.1-r6.apk2024-04-15 23:03 17K
[   ]arc-metacity-20221218-r0.apk2023-01-07 14:34 17K
[   ]snapraid-doc-12.3-r0.apk2024-01-26 03:24 17K
[   ]arc-lighter-metacity-20221218-r0.apk2023-01-07 14:34 17K
[   ]emacs-taxy-magit-section-0.13-r0.apk2024-04-02 11:39 17K
[   ]php81-iconv-8.1.29-r0.apk2024-06-06 22:04 17K
[   ]py3-lzo-1.16-r1.apk2024-04-15 23:03 17K
[   ]dropwatch-1.5.4-r4.apk2024-03-01 16:16 17K
[   ]py3-tls_parser-pyc-2.0.1-r1.apk2024-04-15 23:03 17K
[   ]gtklock-2.1.0-r0.apk2023-07-18 22:21 17K
[   ]libfort-dev-0.4.2-r0.apk2021-10-03 08:14 17K
[   ]py3-kerberos-1.3.1-r4.apk2023-03-02 01:12 17K
[   ]cvs-fast-export-doc-1.65-r0.apk2024-02-17 05:37 17K
[   ]py3-googletrans-pyc-3.0.0-r4.apk2024-04-17 04:54 17K
[   ]repo-2.42-r0.apk2024-03-05 00:55 17K
[   ]git-bug-doc-0.8.0-r12.apk2024-05-19 01:28 17K
[   ]arc-dark-metacity-20221218-r0.apk2023-01-07 14:34 17K
[   ]boson-0_git20211219-r0.apk2022-07-10 20:36 17K
[   ]arc-darker-metacity-20221218-r0.apk2023-01-07 14:34 17K
[   ]hexedit-1.6_git20230905-r0.apk2024-04-04 16:57 17K
[   ]kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk2023-07-03 00:03 18K
[   ]libecap-static-1.0.1-r1.apk2023-05-15 18:46 18K
[   ]py3-recommonmark-pyc-0.7.1-r3.apk2024-04-15 23:03 18K
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-04-15 23:03 18K
[   ]libeantic-dev-2.0.2-r1.apk2024-04-22 19:58 18K
[   ]lua5.1-linenoise-0.9-r1.apk2021-01-18 13:18 18K
[   ]py3-pyrebase-pyc-3.0.27-r4.apk2024-04-15 23:03 18K
[   ]lua5.4-linenoise-0.9-r1.apk2021-01-18 13:18 18K
[   ]hiawatha-letsencrypt-11.5-r0.apk2024-04-15 09:15 18K
[   ]lua5.2-linenoise-0.9-r1.apk2021-01-18 13:18 18K
[   ]lua5.3-linenoise-0.9-r1.apk2021-01-18 13:18 18K
[   ]spvm-errno-0.092-r0.apk2024-04-11 02:39 18K
[   ]asteroid-diamonds-2.0.0-r0.apk2023-08-31 11:41 18K
[   ]roswell-doc-22.12.14.113-r0.apk2023-02-03 15:48 18K
[   ]py3-ansi2html-1.9.1-r1.apk2024-04-15 23:03 18K
[   ]perl-xml-stream-doc-1.24-r0.apk2024-01-03 20:16 18K
[   ]py3-schema-0.7.5-r3.apk2024-04-15 23:03 18K
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r1.apk2024-04-16 02:38 18K
[   ]rizin-doc-0.6.3-r0.apk2023-10-18 17:52 18K
[   ]licenseheaders-0.8.8-r3.apk2024-04-15 23:03 18K
[   ]perl-html-tableextract-2.15-r4.apk2023-07-04 00:52 18K
[   ]lomiri-download-manager-dev-0.1.3-r1.apk2024-04-22 19:58 18K
[   ]surfraw-doc-2.3.0-r0.apk2023-08-01 22:41 18K
[   ]atool-0.39.0-r4.apk2022-10-28 17:20 18K
[   ]racksdb-doc-0.4.0-r0.apk2024-05-23 11:38 18K
[   ]xsecurelock-doc-1.9.0-r1.apk2024-04-12 01:37 18K
[   ]newsyslog-1.2.0.91-r1.apk2023-06-17 00:20 18K
[   ]pacparser-doc-1.4.3-r1.apk2024-04-15 23:03 18K
[   ]freealut-1.1.0-r1.apk2022-07-26 04:59 18K
[   ]wayqt-dev-0.2.0-r0.apk2023-12-30 14:27 18K
[   ]rmlint-doc-2.10.2-r1.apk2024-04-15 23:03 18K
[   ]siril-doc-1.2.1-r2.apk2024-03-05 01:48 18K
[   ]py3-dpath-pyc-2.1.6-r1.apk2024-04-15 23:03 18K
[   ]py3-blockchain-pyc-1.4.4-r6.apk2024-04-15 23:03 18K
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-04-15 23:03 18K
[   ]zsh-history-search-multi-word-doc-0_git20230705-r0.apk2024-05-10 15:37 18K
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-05-11 02:35 18K
[   ]hatop-0.8.2-r0.apk2022-08-01 19:46 18K
[   ]mstflint-doc-4.26.0.1-r0.apk2024-01-21 16:22 18K
[   ]libiio-doc-0.25-r1.apk2024-04-15 23:03 18K
[   ]circuslinux-doc-1.0.3-r1.apk2021-12-05 02:04 18K
[   ]anarch-doc-1.0-r1.apk2022-08-20 16:26 18K
[   ]ocaml-randomconv-dev-0.1.3-r2.apk2024-03-23 21:49 18K
[   ]perl-opentracing-1.006-r0.apk2024-01-15 21:58 18K
[   ]py3-pytest-subprocess-1.5.0-r2.apk2024-04-15 23:03 18K
[   ]libideviceactivation-1.1.1-r4.apk2023-05-15 04:50 18K
[   ]py3-helper-2.5.0-r4.apk2024-04-15 23:03 18K
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-04-15 23:03 18K
[   ]py3-findpython-0.6.1-r0.apk2024-04-26 01:13 18K
[   ]persistent-cache-cpp-dev-1.0.7-r1.apk2024-04-22 19:58 18K
[   ]py3-flake8-isort-6.1.1-r1.apk2024-04-15 23:03 18K
[   ]perl-datetime-format-flexible-0.34-r0.apk2024-01-14 16:55 18K
[   ]py3-flask-migrate-pyc-4.0.5-r1.apk2024-04-15 23:03 18K
[   ]sthttpd-doc-2.27.1-r2.apk2022-10-28 17:21 18K
[   ]py3-parse-pyc-1.20.0-r1.apk2024-04-15 23:03 18K
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-04-15 23:03 18K
[   ]alarmwakeup-dbg-0.2.1-r0.apk2023-10-06 07:49 18K
[   ]liberasurecode-dev-1.6.3-r1.apk2023-05-15 18:46 18K
[   ]perl-cpan-changes-doc-0.500003-r0.apk2024-03-14 18:21 18K
[   ]py3-flask-qrcode-3.1.0-r4.apk2024-04-15 23:03 18K
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-04-15 23:03 18K
[   ]nvim-treesitter-doc-0.0.0_git20221013-r0.apk2022-10-13 22:58 18K
[   ]mdnsd-libs-0.12-r1.apk2023-05-15 18:46 18K
[   ]pinephone-compass-0.4.0-r1.apk2023-12-17 03:20 18K
[   ]ldapdomaindump-0.9.4-r1.apk2024-04-15 23:03 18K
[   ]py3-schema-pyc-0.7.5-r3.apk2024-04-15 23:03 18K
[   ]llmnrd-0.7-r1.apk2022-10-28 17:21 18K
[   ]py3-aioxmpp-doc-0.13.3-r2.apk2024-04-15 23:03 18K
[   ]libtinycbor-0.6.0-r1.apk2022-11-18 02:56 18K
[   ]cowsay-3.04-r2.apk2022-10-28 17:20 18K
[   ]wordgrinder-doc-0.8-r1.apk2022-10-28 17:21 18K
[   ]speedtest-doc-5.2.5-r1.apk2023-09-04 14:28 18K
[   ]licenseheaders-pyc-0.8.8-r3.apk2024-04-15 23:03 18K
[   ]ocaml-hkdf-dev-1.0.4-r2.apk2024-03-23 21:49 18K
[   ]ssdfs-tools-dev-4.09-r0.apk2023-03-16 02:58 18K
[   ]adjtimex-1.29-r0.apk2021-12-31 22:26 18K
[   ]py3-wbdata-1.0.0-r1.apk2024-04-15 23:03 18K
[   ]wlrobs-1.0-r4.apk2023-01-08 07:13 18K
[   ]trafficserver9-plugin-multiplexer-9.2.4-r0.apk2024-04-05 15:43 18K
[   ]apollo-doc-0.2.1-r3.apk2024-05-19 01:28 19K
[   ]py3-spin-0.8-r0.apk2024-04-15 09:15 19K
[   ]py3-bson-pyc-0.5.10-r5.apk2024-04-15 23:03 19K
[   ]node-libpg-query-13.1.2-r4.apk2024-04-03 16:16 19K
[   ]libemf2svg-utils-1.1.0-r2.apk2022-10-28 17:20 19K
[   ]powerstat-0.04.01-r0.apk2024-01-03 13:58 19K
[   ]py3-funcparserlib-pyc-1.0.1-r4.apk2024-04-15 23:03 19K
[   ]znc-clientbuffer-1.0.48-r7.apk2023-11-12 13:21 19K
[   ]py3-gevent-websocket-0.10.1-r7.apk2024-04-15 23:03 19K
[   ]libmpfi-doc-1.5.4-r2.apk2023-08-01 17:19 19K
[   ]ocaml-pbkdf-1.2.0-r2.apk2024-03-23 21:49 19K
[   ]mdnsd-static-0.12-r1.apk2023-05-15 18:46 19K
[   ]libopensmtpd-0.7-r0.apk2022-02-19 02:19 19K
[   ]bootterm-0.5-r0.apk2024-05-09 20:30 19K
[   ]nvim-lualine-doc-0.0.0_git20221006-r0.apk2022-10-13 22:58 19K
[   ]py3-yara-4.5.1-r0.apk2024-05-30 11:14 19K
[   ]libserialport-0.1.1-r1.apk2022-02-06 10:44 19K
[   ]ocaml-ppx_blob-dev-0.8.0-r0.apk2024-04-22 08:13 19K
[   ]perl-autobox-3.0.1-r8.apk2023-07-04 00:52 19K
[   ]py3-pathvalidate-3.2.0-r1.apk2024-04-15 23:03 19K
[   ]py3-recurring-ical-events-2.2.1-r1.apk2024-04-15 23:03 19K
[   ]py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk2024-04-15 23:03 19K
[   ]py3-poetry-dynamic-versioning-1.3.0-r0.apk2024-05-27 19:53 19K
[   ]php81-pecl-yaml-2.2.3-r1.apk2024-04-11 02:39 19K
[   ]rmlint-lang-2.10.2-r1.apk2024-04-15 23:03 19K
[   ]linuxptp-tz2alt-4.2-r0.apk2023-12-20 19:54 19K
[   ]libhomfly-dev-1.02_p6-r1.apk2024-03-30 07:39 19K
[   ]py3-uv-0.2.6-r0.apk2024-06-05 23:16 19K
[   ]py3-aiohttp-remotes-pyc-1.2.0-r3.apk2024-04-15 23:03 19K
[   ]py3-unoconv-0.9.0-r0.apk2022-12-23 01:02 19K
[   ]dinit-shutdown-0.18.0-r2.apk2024-06-02 17:35 19K
[   ]droidcam-2.1.3-r0.apk2024-04-06 01:22 19K
[   ]php81-pdo_pgsql-8.1.29-r0.apk2024-06-06 22:04 19K
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-04-02 11:39 19K
[   ]razercfg-gui-0.42-r6.apk2024-04-15 23:03 19K
[   ]moe-doc-1.14-r0.apk2024-01-21 04:06 19K
[   ]lua-libmodbus-doc-0.6.1-r0.apk2020-07-12 12:14 19K
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-04-11 02:39 19K
[   ]libui-dev-4.1_alpha20211213-r0.apk2021-12-13 21:18 19K
[   ]ddcci-driver-linux-src-0.4.4-r3.apk2024-05-17 13:54 19K
[   ]py3-aioopenssl-pyc-0.6.0-r3.apk2024-04-15 23:03 19K
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-03-23 21:49 19K
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-01-27 16:48 19K
[   ]xfce4-verve-plugin-2.0.3-r0.apk2023-08-07 11:01 19K
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-01-19 01:18 19K
[   ]planarity-dev-3.0.2.0-r2.apk2023-08-01 17:19 19K
[   ]php81-pecl-psr-1.2.0-r0.apk2024-04-11 02:39 19K
[   ]sdparm-doc-1.12-r1.apk2022-10-28 17:21 19K
[   ]readosm-dev-1.1.0-r2.apk2021-09-28 00:25 19K
[   ]materia-kde-20220823-r0.apk2023-03-19 23:40 19K
[   ]imrsh-dbg-0_git20210320-r1.apk2022-10-28 17:20 19K
[   ]py3-sphinx-autodoc-typehints-2.1.1-r0.apk2024-06-04 11:48 19K
[   ]shellinabox-doc-2.21-r3.apk2023-05-15 18:46 19K
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-01-12 14:36 19K
[   ]vbindiff-3.0_beta5-r1.apk2022-10-28 17:21 19K
[   ]php81-xml-8.1.29-r0.apk2024-06-06 22:04 19K
[   ]asteroid-weather-2.0.0-r0.apk2023-08-31 11:41 19K
[   ]xfce4-panel-profiles-doc-1.0.14-r1.apk2023-12-11 09:36 19K
[   ]kodi-game-libretro-mgba-0.11.0.44-r0.apk2023-07-03 00:03 19K
[   ]musikcube-plugin-stockencoders-3.0.2-r1.apk2023-12-13 21:24 19K
[   ]py3-cobs-1.2.0-r3.apk2024-04-15 23:03 19K
[   ]perl-bsd-resource-1.2911-r9.apk2023-12-17 23:58 20K
[   ]py3-daemon-pyc-3.0.1-r0.apk2024-05-29 03:30 20K
[   ]perl-mojo-pg-doc-4.27-r0.apk2024-01-17 13:12 20K
[   ]lua-lupa-1.0-r0.apk2022-01-14 10:20 20K
[   ]py3-ffmpeg-0.2.0-r3.apk2024-04-15 23:03 20K
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-04-15 23:03 20K
[   ]libofx-dev-0.10.9-r1.apk2023-08-26 13:15 20K
[   ]mitra-doc-2.21.0-r0.apk2024-06-02 08:27 20K
[   ]perl-xml-atom-0.43-r0.apk2024-01-14 16:55 20K
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-05-08 15:01 20K
[   ]ampy-pyc-1.1.0-r5.apk2024-04-15 09:15 20K
[   ]ocaml-mirage-net-dev-4.0.0-r3.apk2024-03-23 21:49 20K
[   ]libmrss-0.19.2-r1.apk2021-07-18 11:15 20K
[   ]perl-gtk3-0.038-r1.apk2023-07-04 00:52 20K
[   ]pimd-dense-doc-2.1.0-r0.apk2023-01-14 02:44 20K
[   ]php81-tidy-8.1.29-r0.apk2024-06-06 22:04 20K
[   ]kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk2023-07-03 00:03 20K
[   ]eiwd-doc-2.16-r0.apk2024-03-20 21:07 20K
[   ]ocaml-cmdliner-doc-1.1.1-r3.apk2024-03-23 21:49 20K
[   ]perl-test-trap-0.3.5-r1.apk2023-07-04 00:52 20K
[   ]perl-gearman-doc-2.004.015-r3.apk2024-01-04 02:10 20K
[   ]circuslinux-1.0.3-r1.apk2021-12-05 02:04 20K
[   ]py3-numpy-stl-3.0.1-r2.apk2024-04-15 23:03 20K
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-04-15 23:03 20K
[   ]kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk2023-07-03 00:03 20K
[   ]perl-ffi-c-0.15-r0.apk2024-02-03 10:53 20K
[   ]perl-test-trap-doc-0.3.5-r1.apk2023-07-04 00:52 20K
[   ]libnxml-0.18.3-r0.apk2019-03-19 12:28 20K
[   ]iprange-1.0.4-r1.apk2022-10-28 17:20 20K
[   ]siji-ng-1.2.1-r0.apk2022-08-30 16:37 20K
[   ]apk-snap-doc-3.1.1-r0.apk2024-01-22 16:55 20K
[   ]xfce4-mpc-plugin-0.5.3-r0.apk2023-08-02 10:00 20K
[   ]py3-incoming-pyc-0.3.1-r7.apk2024-04-15 23:03 20K
[   ]embree3-dev-3.13.5-r2.apk2023-07-03 00:01 20K
[   ]py3-furl-2.1.3-r2.apk2023-04-22 18:10 20K
[   ]kubeone-doc-1.7.4-r1.apk2024-05-19 01:28 20K
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2023-08-22 12:22 20K
[   ]wsmancli-2.6.2-r0.apk2023-01-12 17:03 20K
[   ]libm17n-flt-1.8.4-r1.apk2023-09-19 23:47 20K
[   ]py3-piccata-2.0.3-r1.apk2024-04-15 23:03 20K
[   ]shc-4.0.3-r1.apk2022-10-28 17:21 20K
[   ]py3-parse-1.20.0-r1.apk2024-04-15 23:03 20K
[   ]ddgr-2.2-r0.apk2024-01-14 20:13 20K
[   ]py3-nmap-0.7.1-r3.apk2024-04-15 23:03 20K
[   ]perl-test-expander-doc-2.5.0-r0.apk2024-03-09 07:50 20K
[   ]materia-light-kde-plasma-20220823-r0.apk2023-03-19 23:40 20K
[   ]fcitx5-gtk-5.1.3-r0.apk2024-05-10 05:34 20K
[   ]py3-py-radix-0.10.0-r9.apk2024-04-15 23:03 20K
[   ]znc-playback-0_git20210503-r7.apk2023-11-12 13:21 20K
[   ]py3-mopidy-jellyfin-1.0.4-r3.apk2024-04-15 23:03 20K
[   ]ocaml-seq-dev-0.3.1-r2.apk2024-03-23 21:50 20K
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2023-07-04 00:52 20K
[   ]perl-http-xsheaders-0.400005-r0.apk2024-02-24 13:59 20K
[   ]minimodem-0.24-r1.apk2022-10-28 17:21 20K
[   ]portsmf-dev-239-r1.apk2023-03-18 22:44 20K
[   ]ptpd-doc-2.3.1-r1.apk2022-10-28 17:21 20K
[   ]libdatrie-dev-0.2.13-r0.apk2022-01-27 01:31 20K
[   ]perl-net-patricia-1.22-r11.apk2023-07-04 00:52 20K
[   ]py3-libnacl-2.1.0-r1.apk2024-04-15 23:03 20K
[   ]py3-mopidy-tidal-0.3.2-r5.apk2024-04-15 23:03 20K
[   ]lomiri-app-launch-dev-0.1.9-r2.apk2024-04-27 00:28 20K
[   ]libiscsi-dev-1.19.0-r2.apk2023-05-15 18:46 20K
[   ]sqm-scripts-1.6.0-r0.apk2023-08-22 22:00 20K
[   ]py3-nptyping-2.5.0-r2.apk2024-04-15 09:15 20K
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-04-15 23:03 21K
[   ]vim-rust-305-r0.apk2017-03-20 17:30 21K
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-04-15 23:03 21K
[   ]musikcube-dev-3.0.2-r1.apk2023-12-13 21:24 21K
[   ]gpscorrelate-cli-2.0_git20230605-r0.apk2023-06-17 00:19 21K
[   ]tang-doc-14-r0.apk2023-07-23 16:03 21K
[   ]htmlcxx-dev-0.87-r1.apk2022-10-14 17:08 21K
[   ]autorandr-1.15-r0.apk2024-03-16 13:36 21K
[   ]py3-mando-0.7.1-r2.apk2024-04-15 23:03 21K
[   ]libcyaml-1.4.1-r2.apk2024-01-12 01:42 21K
[   ]qmk-cli-pyc-1.1.5-r1.apk2024-04-15 23:03 21K
[   ]fox-shutterbug-1.6.57-r0.apk2022-08-08 12:58 21K
[   ]xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk2023-08-03 17:17 21K
[   ]zycore-1.5.0-r0.apk2024-04-06 00:35 21K
[   ]py3-altgraph-0.17.4-r1.apk2024-04-15 23:03 21K
[   ]py3-geoip-1.3.2-r3.apk2024-04-15 23:03 21K
[   ]detox-doc-2.0.0-r0.apk2024-04-01 21:39 21K
[   ]libunicode-tools-0.4.0-r0.apk2024-01-19 01:29 21K
[   ]lzfse-1.0-r0.apk2022-09-17 11:41 21K
[   ]py3-telemetrix-1.20-r2.apk2024-04-15 23:03 21K
[   ]bestline-0.0_git20211108-r0.apk2022-02-13 16:13 21K
[   ]php81-pecl-ast-1.1.1-r0.apk2024-04-11 02:39 21K
[   ]snapper-doc-0.11.0-r0.apk2024-05-14 14:12 21K
[   ]lomiri-url-dispatcher-lang-0.1.3-r1.apk2024-04-15 23:03 21K
[   ]bump2version-1.0.1-r6.apk2024-04-15 23:03 21K
[   ]dfl-ipc-0.2.0-r0.apk2023-12-30 14:27 21K
[   ]tup-doc-0.7.11-r0.apk2023-03-06 14:16 21K
[   ]py3-firmata-pyc-1.0.3-r9.apk2024-04-15 23:03 21K
[   ]py3-telegram-pyc-0.18.0-r2.apk2024-04-15 23:03 21K
[   ]kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk2023-07-03 00:03 21K
[   ]simpleble-dev-0.6.1-r1.apk2023-07-30 00:01 21K
[   ]py3-mattermostdriver-7.3.2-r0.apk2024-05-27 04:18 21K
[   ]libiio-pyc-0.25-r1.apk2024-04-15 23:03 21K
[   ]py3-pypandoc-1.13-r0.apk2024-04-22 06:42 21K
[   ]optee-client-3.20.0-r0.apk2023-03-01 18:43 21K
[   ]py3-pure_protobuf-3.0.1-r2.apk2024-04-15 23:03 21K
[   ]py3-aioitertools-0.11.0-r0.apk2024-05-27 04:18 21K
[   ]hiawatha-doc-11.5-r0.apk2024-04-15 09:15 21K
[   ]musikcube-plugin-mpris-3.0.2-r1.apk2023-12-13 21:24 21K
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2023-07-04 00:52 21K
[   ]recoll-doc-1.37.5-r1.apk2024-04-15 23:03 21K
[   ]cri-o-doc-1.29.1-r3.apk2024-05-19 01:28 21K
[   ]perl-flowd-0.9.1-r9.apk2023-07-04 00:52 21K
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r0.apk2023-10-05 23:11 21K
[   ]dnsenum-1.3.2-r0.apk2024-04-24 03:32 21K
[   ]nvim-packer-doc-0.0.0_git20220910-r0.apk2022-10-13 22:58 21K
[   ]sregex-0.0.1-r1.apk2022-10-28 17:21 21K
[   ]ubase-doc-20200605-r2.apk2022-10-28 17:21 21K
[   ]flintqs-1.0-r1.apk2023-08-01 17:19 21K
[   ]py3-coreapi-2.3.3-r8.apk2024-04-15 23:03 21K
[   ]ruff-lsp-0.0.53-r0.apk2024-04-16 13:19 21K
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-04-15 23:03 21K
[   ]hari-0_git20240126-r0.apk2024-02-25 21:38 21K
[   ]znc-backlog-0_git20210503-r7.apk2023-11-12 13:21 21K
[   ]py3-pebble-5.0.7-r1.apk2024-04-15 23:03 21K
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-04-15 23:03 21K
[   ]varnish-modules-doc-0.23.0-r1.apk2023-12-12 07:40 21K
[   ]nmap-parse-output-1.5.1-r0.apk2022-06-12 23:54 21K
[   ]mimeo-2023-r1.apk2024-04-15 23:03 21K
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r0.apk2023-11-06 18:37 21K
[   ]fusee-nano-0.5.3-r0.apk2023-12-31 19:28 21K
[   ]horizon-doc-0.9.6-r9.apk2024-04-22 19:58 21K
[   ]php81-snmp-8.1.29-r0.apk2024-06-06 22:04 21K
[   ]materia-light-kde-yakuake-20220823-r0.apk2023-03-19 23:40 21K
[   ]php81-pecl-smbclient-1.1.1-r1.apk2024-04-11 02:39 22K
[   ]py3-authres-pyc-1.2.0-r1.apk2024-04-15 23:03 22K
[   ]py3-proxmoxer-pyc-2.0.1-r3.apk2024-04-15 23:03 22K
[   ]py3-pydantic-settings-pyc-2.2.1-r0.apk2024-05-24 15:06 22K
[   ]starfighter-doc-2.4-r0.apk2023-08-08 22:37 22K
[   ]py3-unidns-pyc-0.0.1-r2.apk2024-04-15 23:03 22K
[   ]docbook2mdoc-1.1.0-r1.apk2022-10-28 17:20 22K
[   ]py3-pytest-html-4.1.1-r1.apk2024-04-15 23:03 22K
[   ]py3-sphinx-argparse-pyc-0.4.0-r3.apk2024-04-15 23:03 22K
[   ]fulcrum-doc-1.9.8-r0.apk2024-02-12 23:45 22K
[   ]py3-agithub-pyc-2.2.2-r5.apk2024-04-15 23:03 22K
[   ]wiremapper-0.10.0-r0.apk2022-04-26 22:40 22K
[   ]fig2dev-doc-3.2.8b-r0.apk2022-03-11 11:37 22K
[   ]git-filter-repo-doc-2.38.0-r0.apk2023-10-15 01:21 22K
[   ]py3-svgpath-pyc-6.3-r2.apk2024-04-15 23:03 22K
[   ]mesa-asahi-gles-24.0.0_pre20240527-r0.apk2024-05-29 00:31 22K
[   ]materia-dark-kde-yakuake-20220823-r0.apk2023-03-19 23:40 22K
[   ]perl-net-idn-encode-doc-2.500-r0.apk2024-01-14 13:52 22K
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-04-15 23:03 22K
[   ]mbrola-3.3-r0.apk2022-08-16 03:57 22K
[   ]sfwbar-doc-1.0_beta14-r0.apk2024-01-04 02:09 22K
[   ]postgresql-pg_partman-bitcode-5.0.0-r0.apk2023-12-17 23:58 22K
[   ]lkrg-doc-0.9.6-r0.apk2023-08-09 12:57 22K
[   ]cups-pdf-3.0.1-r2.apk2024-03-26 13:25 22K
[   ]py3-pyroma-4.2-r0.apk2024-04-15 23:03 22K
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-04-15 23:03 22K
[   ]py3-compdb-0.2.0-r7.apk2024-04-15 23:03 22K
[   ]py3-arpeggio-2.0.2-r1.apk2024-04-15 16:26 22K
[   ]stubby-0.4.3-r0.apk2023-02-23 02:12 22K
[   ]cdba-server-1.0-r0.apk2023-11-12 14:23 22K
[   ]py3-ansi2html-pyc-1.9.1-r1.apk2024-04-15 23:03 22K
[   ]php82-pecl-excimer-1.2.1-r0.apk2024-02-29 11:46 22K
[   ]libnfc-doc-1.8.0-r1.apk2023-05-15 18:46 22K
[   ]netdiscover-doc-0.10-r0.apk2023-09-30 01:01 22K
[   ]php81-sqlite3-8.1.29-r0.apk2024-06-06 22:04 22K
[   ]libspatialindex-dev-0_git20210205-r1.apk2023-11-06 18:37 22K
[   ]php83-pecl-excimer-1.2.1-r0.apk2024-02-29 11:46 22K
[   ]py3-cstruct-5.3-r1.apk2024-04-15 23:03 22K
[   ]perl-mastodon-client-0.017-r0.apk2024-01-13 14:37 22K
[   ]bindfs-1.17.6-r0.apk2023-12-03 11:03 22K
[   ]xfce4-calculator-plugin-lang-0.7.2-r0.apk2023-04-19 19:45 22K
[   ]php81-gmp-8.1.29-r0.apk2024-06-06 22:04 22K
[   ]flatpak-xdg-utils-1.0.5-r0.apk2023-08-22 17:50 22K
[   ]prettier-doc-3.2.5-r0.apk2024-02-06 00:57 22K
[   ]clevis-doc-19-r0.apk2023-01-29 20:27 22K
[   ]timewarrior-doc-1.7.1-r0.apk2024-01-17 23:56 22K
[   ]py3-pymata-2.20-r3.apk2024-04-15 23:03 22K
[   ]py3-dexml-0.5.1-r9.apk2024-04-15 23:03 22K
[   ]hopalong-0.1-r3.apk2022-10-18 05:16 22K
[   ]tncattach-0.1.9-r1.apk2022-10-28 17:21 22K
[   ]fcitx5-anthy-lang-5.1.4-r0.apk2024-05-10 05:34 22K
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-04-15 23:03 22K
[   ]jami-daemon-dev-4.0.0_git20230925-r2.apk2024-06-03 08:22 22K
[   ]sblim-sfcc-dev-2.2.8-r2.apk2023-05-15 18:46 22K
[   ]py3-dep-logic-0.2.0-r1.apk2024-04-15 23:03 22K
[   ]asteroid-calendar-2.0.0-r0.apk2023-08-31 11:41 22K
[   ]opa-doc-0.61.0-r3.apk2024-05-19 01:28 22K
[   ]php81-dba-8.1.29-r0.apk2024-06-06 22:04 22K
[   ]lipstick-asteroidos-tools-2.0.0-r1.apk2023-10-08 12:34 22K
[   ]libgedit-amtk-dev-5.8.0-r1.apk2024-05-29 20:03 22K
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-05-20 09:17 22K
[   ]ocaml-mirage-kv-4.0.1-r3.apk2024-03-23 21:49 22K
[   ]hare-unicode-0_git20240416-r0.apk2024-05-08 01:02 22K
[   ]py3-authres-1.2.0-r1.apk2024-04-15 23:03 22K
[   ]py3-actdiag-pyc-3.0.0-r3.apk2023-04-22 00:34 22K
[   ]tree-sitter-scheme-0.6.0-r0.apk2023-11-20 01:26 23K
[   ]swi-prolog-pyc-9.2.5-r0.apk2024-05-31 15:30 23K
[   ]py3-mopidy-local-3.2.1-r3.apk2024-04-15 23:03 23K
[   ]perl-conf-libconfig-1.0.0-r1.apk2023-07-04 00:52 23K
[   ]perl-rxperl-doc-6.28.0-r0.apk2024-01-19 01:18 23K
[   ]lua5.1-lcurses-9.0.0-r0.apk2018-01-05 14:55 23K
[   ]thunar-gtkhash-plugin-1.5-r0.apk2022-10-01 23:16 23K
[   ]htslib-doc-1.19-r0.apk2023-12-12 18:44 23K
[   ]nlopt-doc-2.7.1-r0.apk2022-05-06 11:53 23K
[   ]fcitx5-qt-dev-5.1.6-r0.apk2024-05-10 05:34 23K
[   ]policycoreutils-doc-3.6-r0.apk2024-01-08 10:43 23K
[   ]kodi-game-libretro-nestopia-1.52.0.41-r0.apk2023-07-03 00:03 23K
[   ]php81-simplexml-8.1.29-r0.apk2024-06-06 22:04 23K
[   ]py3-twiggy-0.5.1-r3.apk2024-04-15 23:03 23K
[   ]py3-pymata4-1.15-r3.apk2024-04-15 23:03 23K
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-04-02 11:39 23K
[   ]postgresql-pg_variables-1.2.4_git20220909-r2.apk2023-10-04 07:52 23K
[   ]pam-krb5-4.11-r0.apk2022-05-16 16:17 23K
[   ]perl-database-async-0.019-r0.apk2024-01-17 13:12 23K
[   ]tree-sitter-nix-0_git20230713-r0.apk2023-11-19 03:32 23K
[   ]nemo-gtkhash-plugin-1.5-r0.apk2022-10-01 23:16 23K
[   ]trafficserver9-plugin-cachepromote-9.2.4-r0.apk2024-04-05 15:43 23K
[   ]libcyaml-static-1.4.1-r2.apk2024-01-12 01:42 23K
[   ]perl-css-object-0.1.6-r0.apk2024-02-24 13:59 23K
[   ]zarchive-libs-0.1.2-r2.apk2023-08-07 22:56 23K
[   ]libsemanage-doc-3.6-r0.apk2023-12-28 05:20 23K
[   ]tayga-0.9.2-r0.apk2023-01-23 08:26 23K
[   ]py3-pyvcd-0.4.0-r1.apk2024-04-15 23:03 23K
[   ]lua5.2-xml-1.1.3-r1.apk2020-03-02 13:59 23K
[   ]py3-pysrt-pyc-1.1.2-r3.apk2024-04-15 23:03 23K
[   ]tree-sitter-clojure-0.0.12-r0.apk2024-01-12 14:36 23K
[   ]py3-pypandoc-pyc-1.13-r0.apk2024-04-22 06:42 23K
[   ]php81-ftp-8.1.29-r0.apk2024-06-06 22:04 23K
[   ]py3-aiowinreg-0.0.12-r0.apk2024-05-13 09:58 23K
[   ]py3-tasklib-2.5.1-r2.apk2024-04-15 23:03 23K
[   ]lua5.1-xml-1.1.3-r1.apk2020-03-02 13:59 23K
[   ]git-revise-0.7.0-r4.apk2024-04-15 23:03 23K
[   ]zita-resampler-1.10.1-r0.apk2023-03-18 22:44 23K
[   ]xmp-4.2.0-r0.apk2023-08-21 02:04 23K
[   ]autotrash-0.4.6-r1.apk2024-04-15 09:15 23K
[   ]pfqueue-dev-0.5.6-r1.apk2022-10-14 17:08 23K
[   ]perl-getopt-tabular-0.3-r4.apk2023-07-04 00:52 23K
[   ]lua5.2-luacov-0.15.0-r0.apk2023-06-17 00:20 23K
[   ]lua5.3-luacov-0.15.0-r0.apk2023-06-17 00:20 23K
[   ]lua5.4-luacov-0.15.0-r0.apk2023-06-17 00:20 23K
[   ]lua5.1-luacov-0.15.0-r0.apk2023-06-17 00:20 23K
[   ]spvm-math-1.001-r0.apk2024-04-11 02:39 23K
[   ]libirecovery-1.1.0-r0.apk2023-05-27 13:04 23K
[   ]pam-krb5-doc-4.11-r0.apk2022-05-16 16:17 23K
[   ]harminv-libs-1.4.2-r1.apk2023-10-08 19:25 23K
[   ]surf-2.1-r3.apk2024-05-13 09:58 23K
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-04-15 23:03 23K
[   ]admesh-doc-0.98.5-r0.apk2022-12-06 12:04 23K
[   ]i2util-4.2.1-r1.apk2022-10-28 17:20 23K
[   ]shipments-0.3.0-r0.apk2022-02-03 03:44 23K
[   ]emacs-derl-0_git20231004-r0.apk2024-05-05 08:26 23K
[   ]freealut-dev-1.1.0-r1.apk2022-07-26 04:59 24K
[   ]grommunio-error-pages-1.0_git20231031-r0.apk2024-04-26 08:25 24K
[   ]msgpuck-dev-2.0-r1.apk2020-02-22 18:27 24K
[   ]py3-minidb-pyc-2.0.7-r3.apk2024-04-15 23:03 24K
[   ]php81-odbc-8.1.29-r0.apk2024-06-06 22:04 24K
[   ]perl-dns-unbound-0.29-r0.apk2024-04-16 19:38 24K
[   ]py3-timeago-1.0.16-r0.apk2024-05-04 15:42 24K
[   ]nwg-displays-0.3.13-r1.apk2024-04-15 23:03 24K
[   ]py3-bite-parser-pyc-0.2.4-r1.apk2024-04-15 23:03 24K
[   ]ibus-rime-1.5.0-r1.apk2023-09-18 13:42 24K
[   ]mdnsd-0.12-r1.apk2023-05-15 18:46 24K
[   ]py3-poetry-dynamic-versioning-pyc-1.3.0-r0.apk2024-05-27 19:53 24K
[   ]curlftpfs-0.9.2-r3.apk2022-10-28 17:20 24K
[   ]nsjail-doc-3.4-r2.apk2023-11-15 17:50 24K
[   ]perl-promise-xs-0.20-r0.apk2024-01-19 01:18 24K
[   ]mcjoin-2.11-r0.apk2022-09-12 10:03 24K
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r4.apk2024-04-15 23:03 24K
[   ]py3-truststore-pyc-0.9.1-r0.apk2024-06-03 22:42 24K
[   ]vidcutter-doc-6.0.5.1-r5.apk2023-04-22 18:11 24K
[   ]py3-aiosasl-pyc-0.5.0-r3.apk2024-04-15 23:03 24K
[   ]sentrypeer-3.0.2-r0.apk2023-12-23 13:59 24K
[   ]newsyslog-doc-1.2.0.91-r1.apk2023-06-17 00:20 24K
[   ]py3-preggy-pyc-1.4.4-r4.apk2024-04-15 23:03 24K
[   ]libexmdbpp-dev-1.11-r1.apk2024-05-17 03:44 24K
[   ]cliquer-tests-1.22-r2.apk2023-08-01 17:19 24K
[   ]avr-libc-git-doc-0_git20240218-r4.apk2024-02-23 22:21 24K
[   ]mame-doc-0.251-r0.apk2023-02-22 12:49 24K
[   ]cliquer-libs-1.22-r2.apk2023-08-01 17:19 24K
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-04-18 15:58 24K
[   ]libgedit-amtk-5.8.0-r1.apk2024-05-29 20:03 24K
[   ]py3-rtree-1.1.0-r1.apk2024-04-15 23:03 24K
[   ]py3-modbus-tk-1.1.1-r3.apk2024-04-15 23:03 24K
[   ]junit2html-pyc-0.2.0-r3.apk2024-04-15 23:03 24K
[   ]lomiri-location-service-lang-3.1.0-r1.apk2024-05-19 11:53 24K
[   ]clustershell-doc-1.9.2-r1.apk2024-05-23 23:23 24K
[   ]ocaml-lwt-dllist-1.0.1-r3.apk2024-03-23 21:49 24K
[   ]php81-pecl-mailparse-3.1.6-r0.apk2024-04-11 02:39 24K
[   ]endless-sky-doc-0.9.16.1-r0.apk2022-10-21 18:06 24K
[   ]grommunio-admin-api-doc-1.15-r2.apk2024-05-31 01:04 24K
[   ]py3-webrtcvad-2.0.10-r1.apk2024-05-31 08:16 24K
[   ]epr-pyc-2.4.15-r1.apk2024-04-15 23:03 24K
[   ]py3-mopidy-spotify-5.0.0_alpha2-r0.apk2024-04-17 17:28 24K
[   ]xed-python-3.4.5-r0.apk2024-01-08 10:43 24K
[   ]py3-spin-pyc-0.8-r0.apk2024-04-15 09:15 24K
[   ]font-siji-20190218_git-r2.apk2022-10-08 17:26 24K
[   ]py3-pytest-subprocess-pyc-1.5.0-r2.apk2024-04-15 23:03 25K
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-04-18 15:58 25K
[   ]trafficserver9-plugin-compress-9.2.4-r0.apk2024-04-05 15:43 25K
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-04-15 23:03 25K
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-01-12 14:36 25K
[   ]libcec-rpi-dev-6.0.2-r3.apk2022-12-18 06:57 25K
[   ]py3-queuelib-pyc-1.6.2-r4.apk2024-04-15 23:03 25K
[   ]caja-gtkhash-plugin-1.5-r0.apk2022-10-01 23:16 25K
[   ]ocaml-containers-top-3.7-r2.apk2024-03-23 21:49 25K
[   ]gnome-metronome-lang-1.3.0-r0.apk2023-06-17 00:19 25K
[   ]py3-qt.py-pyc-1.3.10-r0.apk2024-04-15 23:03 25K
[   ]py3-iniparse-pyc-0.5-r6.apk2024-04-15 23:03 25K
[   ]opkg-utils-0.4.5-r1.apk2022-10-28 17:21 25K
[   ]py3-urlobject-pyc-2.4.3-r8.apk2024-04-15 23:03 25K
[   ]py3-dominate-2.9.1-r1.apk2024-04-15 23:03 25K
[   ]numbat-doc-1.9.0-r0.apk2024-02-06 04:37 25K
[   ]pmccabe-2.8-r1.apk2022-10-28 17:21 25K
[   ]py3-wtf-peewee-pyc-3.0.5-r1.apk2024-04-15 23:03 25K
[   ]vfd-configurations-0_git20230612-r0.apk2023-06-17 00:22 25K
[   ]tanidvr-1.4.1-r1.apk2022-10-14 17:08 25K
[   ]pnmixer-lang-0.7.2-r3.apk2023-10-11 19:35 25K
[   ]py3-patatt-0.6.3-r1.apk2024-04-15 23:03 25K
[   ]musikcube-plugin-supereqdsp-3.0.2-r1.apk2023-12-13 21:24 25K
[   ]smplxmpp-doc-0.9.3-r2.apk2024-04-29 18:49 25K
[   ]parcellite-doc-1.2.4.0-r0.apk2024-02-24 14:44 25K
[   ]py3-milc-1.8.0-r1.apk2024-04-15 23:03 25K
[   ]brltty-static-6.6-r1.apk2023-11-12 13:19 25K
[   ]3proxy-doc-0.9.4-r0.apk2023-09-18 07:37 25K
[   ]rvlprog-0.91-r1.apk2022-10-28 17:21 25K
[   ]py3-docformatter-1.7.5-r3.apk2024-04-15 23:03 25K
[   ]perl-ryu-3.005-r0.apk2024-01-15 21:58 25K
[   ]pdfcrack-0.20-r0.apk2022-12-17 23:22 25K
[   ]libm4rie-dev-20200125-r3.apk2023-08-01 17:19 25K
[   ]knxd-dev-0.14.61-r0.apk2024-05-13 19:37 25K
[   ]olsrd-doc-0.9.8-r2.apk2022-10-28 17:21 25K
[   ]perl-nice-try-1.3.10-r0.apk2024-03-26 12:44 25K
[   ]php81-common-8.1.29-r0.apk2024-06-06 22:04 25K
[   ]perl-mojo-redis-3.29-r0.apk2024-01-12 14:36 25K
[   ]lshell-doc-0.9.18-r11.apk2024-04-15 23:03 25K
[   ]thunarx-python-doc-0.5.2-r2.apk2024-04-16 18:34 25K
[   ]py3-pockethernet-pyc-0.7.0-r3.apk2024-04-15 23:03 25K
[   ]tnef-1.4.18-r0.apk2024-03-30 18:37 25K
[   ]p0f-doc-3.09b-r2.apk2022-10-28 17:21 25K
[   ]py3-utils-3.8.1-r1.apk2024-04-15 23:03 25K
[   ]sregex-dev-0.0.1-r1.apk2022-10-28 17:21 25K
[   ]hare-madeline-0.1_git20240315-r0.apk2024-05-04 15:41 25K
[   ]crossplane-0.5.8-r2.apk2024-04-15 23:03 25K
[   ]py3-flask-mailman-pyc-1.0.0-r1.apk2024-04-15 23:03 25K
[   ]curtail-1.9.1-r0.apk2024-04-15 23:03 25K
[   ]ocaml-uuidm-dev-0.9.8-r2.apk2024-03-23 21:50 25K
[   ]libmysofa-1.3.2-r0.apk2023-11-06 18:37 25K
[   ]pcsc-perl-1.4.16-r0.apk2023-12-03 22:19 25K
[   ]hare-xkb-0_git20231011-r0.apk2023-10-11 20:05 25K
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-03-23 21:50 25K
[   ]perl-promise-me-0.4.11-r0.apk2024-04-27 10:33 25K
[   ]ocaml-pbkdf-dev-1.2.0-r2.apk2024-03-23 21:49 25K
[   ]py3-nmap-pyc-0.7.1-r3.apk2024-04-15 23:03 26K
[   ]ssh-tools-1.8-r0.apk2024-03-16 22:30 26K
[   ]perl-number-tolerant-doc-1.710-r0.apk2023-08-10 13:42 26K
[   ]dwl-0.5-r1.apk2024-03-14 18:18 26K
[   ]py3-nose-of-yeti-2.4.9-r0.apk2024-05-29 00:31 26K
[   ]wol-0.7.1-r2.apk2023-08-17 19:12 26K
[   ]khronos-lang-4.0.1-r0.apk2023-10-15 01:22 26K
[   ]py3-snapshottest-pyc-0.6.0-r4.apk2024-04-19 16:05 26K
[   ]xfce4-timer-plugin-1.7.2-r0.apk2023-04-18 20:54 26K
[   ]mpvpaper-1.5-r0.apk2024-05-04 15:42 26K
[   ]hilbish-doc-2.2.3-r1.apk2024-05-19 01:28 26K
[   ]gtksourceviewmm4-devhelp-3.91.1-r2.apk2023-04-14 16:16 26K
[   ]ip2location-8.6.1-r0.apk2023-06-17 00:19 26K
[   ]py3-asif-pyc-0.3.2-r2.apk2024-04-15 23:03 26K
[   ]py3-pyroma-pyc-4.2-r0.apk2024-04-15 23:03 26K
[   ]xfce4-netload-plugin-1.4.1-r0.apk2023-08-04 16:52 26K
[   ]kodi-game-libretro-snes9x-1.62.3.45-r0.apk2023-07-03 00:03 26K
[   ]php81-zip-8.1.29-r0.apk2024-06-06 22:04 26K
[   ]dmarc-metrics-exporter-1.0.0-r2.apk2024-04-15 23:03 26K
[   ]py3-parver-pyc-0.5-r1.apk2024-04-15 16:26 26K
[   ]tre-0.8.0-r2.apk2023-05-15 18:46 26K
[   ]libtsm-4.0.2-r0.apk2022-10-05 02:06 26K
[   ]perl-rxperl-6.28.0-r0.apk2024-01-19 01:18 26K
[   ]py3-manuel-pyc-1.12.4-r2.apk2024-04-15 23:03 26K
[   ]postgresql-hll-2.18-r0.apk2023-12-17 23:58 26K
[   ]sipgrep-2.2.0-r0.apk2024-05-08 14:19 26K
[   ]py3-dunamai-1.21.1-r0.apk2024-05-26 16:59 26K
[   ]py3-flask-limiter-3.7.0-r0.apk2024-05-20 09:38 26K
[   ]py3-pyvows-3.0.0-r4.apk2024-04-15 23:03 26K
[   ]dehydrated-0.7.1-r0.apk2023-01-20 23:16 26K
[   ]lrcalc-libs-2.1-r1.apk2023-05-15 18:46 26K
[   ]py3-soapy_power-pyc-1.6.1-r4.apk2024-04-15 23:03 27K
[   ]jedi-language-server-0.41.4-r0.apk2024-04-17 13:21 27K
[   ]py3-libmdbx-0.10.2-r6.apk2024-04-17 04:54 27K
[   ]charls-dev-2.4.2-r0.apk2023-11-06 18:35 27K
[   ]kdiskmark-lang-3.1.4-r1.apk2023-10-17 18:45 27K
[   ]py3-liblarch-3.2.0-r4.apk2024-04-15 23:03 27K
[   ]py3-feedgenerator-pyc-2.1.0-r1.apk2024-04-15 23:03 27K
[   ]php81-sodium-8.1.29-r0.apk2024-06-06 22:04 27K
[   ]debconf-doc-1.5.82-r0.apk2023-03-16 15:38 27K
[   ]php81-pecl-msgpack-2.2.0-r2.apk2024-06-04 02:37 27K
[   ]stubbyboot-efistub-1.0.2-r1.apk2024-03-21 07:57 27K
[   ]perl-math-int64-0.57-r0.apk2024-01-24 11:08 27K
[   ]nm-tray-lang-0.5.0-r0.apk2024-01-28 23:12 27K
[   ]py3-numpy-stl-pyc-3.0.1-r2.apk2024-04-15 23:03 27K
[   ]admesh-0.98.5-r0.apk2022-12-06 12:04 27K
[   ]bordeaux-doc-0.8.1-r0.apk2024-02-26 22:07 27K
[   ]py3-evohome-client-pyc-0.3.7-r3.apk2024-04-15 23:03 27K
[   ]arc-dark-gnome-20221218-r0.apk2023-01-07 14:34 27K
[   ]py3-pyte-0.8.2-r1.apk2024-04-15 23:03 27K
[   ]gtkwave-doc-3.3.117-r0.apk2023-11-16 22:06 27K
[   ]jitsi-meet-doc-1.0.7792-r1.apk2024-05-24 15:05 27K
[   ]py3-dataclasses-json-0.6.6-r0.apk2024-05-27 19:52 27K
[   ]vcsh-doc-2.0.5-r0.apk2023-06-17 00:22 27K
[   ]ocaml-mirage-profile-0.9.1-r3.apk2024-03-23 21:49 27K
[   ]py3-mbedtls-pyc-2.10.1-r1.apk2024-04-28 21:56 27K
[   ]mkdocs-bootstrap-1.1.1-r1.apk2024-04-15 23:03 27K
[   ]curlpp-0.8.1-r1.apk2022-10-28 17:20 27K
[   ]jdupes-1.27.3-r0.apk2023-08-27 22:21 27K
[   ]perl-gearman-2.004.015-r3.apk2024-01-04 02:10 27K
[   ]py3-sphinx-autodoc-typehints-pyc-2.1.1-r0.apk2024-06-04 11:48 28K
[   ]stgit-emacs-1.3-r5.apk2024-04-15 23:03 28K
[   ]perl-xml-bare-0.53-r12.apk2023-10-17 13:18 28K
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-05-04 15:42 28K
[   ]libaudec-0.3.4-r3.apk2023-07-30 00:01 28K
[   ]py3-progressbar2-4.2.0-r2.apk2024-04-15 23:03 28K
[   ]py3-bidict-0.23.1-r1.apk2024-04-15 23:03 28K
[   ]kodi-audioencoder-wav-20.2.0-r1.apk2023-07-03 00:03 28K
[   ]dcnnt-0.10.0-r1.apk2024-04-15 23:03 28K
[   ]libaudec-tools-0.3.4-r3.apk2023-07-30 00:01 28K
[   ]py3-spotipy-2.23.0-r2.apk2024-04-15 23:03 28K
[   ]merlin-vim-4.14-r0.apk2024-03-23 21:49 28K
[   ]ecos-dev-2.0.10-r0.apk2022-05-23 22:54 28K
[   ]octoprint-filecheck-2024.3.27-r0.apk2024-04-16 02:38 28K
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-04-15 09:15 28K
[   ]tre-static-0.8.0-r2.apk2023-05-15 18:46 28K
[   ]eclib-doc-20231212-r1.apk2024-04-22 19:58 28K
[   ]scrypt-1.3.2-r0.apk2023-10-03 11:43 28K
[   ]fatback-1.3-r2.apk2022-10-28 17:20 28K
[   ]py3-qbittorrent-api-doc-2024.5.62-r0.apk2024-05-30 18:16 28K
[   ]xfce4-places-plugin-1.8.3-r0.apk2022-12-16 11:47 28K
[   ]py3-helper-pyc-2.5.0-r4.apk2024-04-15 23:03 28K
[   ]perl-barcode-zbar-0.10-r2.apk2023-07-04 00:52 28K
[   ]a2jmidid-9-r3.apk2022-08-01 08:53 28K
[   ]libwbxml-doc-0.11.8-r0.apk2022-03-19 10:15 28K
[   ]gtksourceviewmm3-devhelp-3.21.3-r2.apk2023-04-14 16:16 28K
[   ]trigger-rally-doc-0.6.7-r2.apk2024-01-02 09:42 28K
[   ]zita-njbridge-0.4.8-r1.apk2022-10-28 17:21 28K
[   ]gmic-bash-completion-3.3.5-r0.apk2024-04-26 15:52 28K
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-04-11 02:39 29K
[   ]swappy-1.5.1-r0.apk2022-11-21 23:19 29K
[   ]merlin-emacs-4.14-r0.apk2024-03-23 21:49 29K
[   ]py3-python-jose-3.3.0-r2.apk2024-04-15 15:09 29K
[   ]perl-mojolicious-plugin-openapi-5.09-r0.apk2024-01-14 13:52 29K
[   ]optee-client-dev-3.20.0-r0.apk2023-03-01 18:43 29K
[   ]perl-ffi-c-doc-0.15-r0.apk2024-02-03 10:53 29K
[   ]libnxml-dev-0.18.3-r0.apk2019-03-19 12:28 29K
[   ]mesa-asahi-gbm-24.0.0_pre20240527-r0.apk2024-05-29 00:31 29K
[   ]arc-gnome-20221218-r0.apk2023-01-07 14:34 29K
[   ]libqb-dev-2.0.8-r0.apk2023-08-03 18:08 29K
[   ]rdrview-0.1.1-r0.apk2024-03-05 01:48 29K
[   ]ocaml-duration-0.2.0-r2.apk2024-03-23 21:49 29K
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-04-15 23:03 29K
[   ]py3-rospkg-1.2.9-r5.apk2024-04-15 23:03 29K
[   ]neo4j-client-2.2.0-r3.apk2022-08-21 03:34 29K
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-04-02 11:39 29K
[   ]ttfautohint-1.8.4-r0.apk2024-05-06 12:51 29K
[   ]dinit-doc-0.18.0-r2.apk2024-06-02 17:35 29K
[   ]py3-pygpgme-0.3.1-r8.apk2024-04-15 23:03 29K
[   ]libcli-1.10.7-r0.apk2021-03-21 08:50 29K
[   ]primecount-7.13-r0.apk2024-05-01 19:11 29K
[   ]perl-database-async-doc-0.019-r0.apk2024-01-17 13:12 29K
[   ]bump2version-pyc-1.0.1-r6.apk2024-04-15 23:03 29K
[   ]lipstick-asteroidos-dev-2.0.0-r1.apk2023-10-08 12:34 29K
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-04-15 23:03 29K
[   ]py3-tokenizers-pyc-0.15.2-r1.apk2024-04-15 23:03 29K
[   ]fpp-0.9.5-r0.apk2022-02-15 00:29 29K
[   ]libgedit-amtk-lang-5.8.0-r1.apk2024-05-29 20:03 29K
[   ]soapy-hackrf-0.3.4-r2.apk2023-07-30 00:01 29K
[   ]libguestfs-dev-1.52.0-r1.apk2024-04-15 23:03 29K
[   ]py3-aiodocker-0.21.0-r1.apk2024-04-15 23:03 29K
[   ]perl-file-mmagic-xs-0.09008-r3.apk2023-07-04 00:52 29K
[   ]octoprint-firmwarecheck-2021.10.11-r1.apk2024-04-16 02:38 29K
[   ]py3-pymata-pyc-2.20-r3.apk2024-04-15 23:03 29K
[   ]cliquer-static-1.22-r2.apk2023-08-01 17:19 29K
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-04-16 13:30 29K
[   ]isomd5sum-1.2.3-r2.apk2022-11-24 23:54 29K
[   ]perl-sql-abstract-classic-1.91-r1.apk2023-07-04 00:52 30K
[   ]libthai-dev-0.1.29-r0.apk2022-02-05 17:42 30K
[   ]py3-wsgiprox-pyc-1.5.2-r0.apk2023-10-29 01:53 30K
[   ]materia-light-kde-kvantum-20220823-r0.apk2023-03-19 23:40 30K
[   ]fakeroot-tcp-1.32.1-r1.apk2023-08-05 19:12 30K
[   ]php83-pecl-eio-3.1.3-r0.apk2024-03-02 23:38 30K
[   ]slidge-matridge-0_git20240208-r1.apk2024-04-15 23:03 30K
[   ]lomiri-indicator-location-0_git20231227-r0.apk2024-03-15 18:50 30K
[   ]lxappearance-0.6.3-r3.apk2023-05-29 06:27 30K
[   ]qoiconv-0.0.0_git20230312-r0.apk2023-03-17 08:30 30K
[   ]asteroid-alarmclock-2.0.0-r0.apk2023-08-31 11:41 30K
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-04-15 23:03 30K
[   ]solanum-lang-3.0.1_git20220607-r1.apk2023-02-13 15:20 30K
[   ]dnscrypt-wrapper-0.4.2-r3.apk2023-12-19 15:55 30K
[   ]startup-bridge-udev-2.0.3-r4.apk2023-07-03 00:04 30K
[   ]rtmidi-6.0.0-r0.apk2023-08-07 11:01 30K
[   ]materia-kde-kvantum-20220823-r0.apk2023-03-19 23:40 30K
[   ]py3-enzyme-pyc-0.4.1-r4.apk2024-04-15 23:03 30K
[   ]py3-empy-3.3.4-r6.apk2024-04-15 23:03 30K
[   ]libcork-dev-0.15.0-r7.apk2023-12-07 16:23 30K
[   ]materia-dark-kde-kvantum-20220823-r0.apk2023-03-19 23:40 30K
[   ]ffms2-doc-2.40-r0.apk2023-12-30 14:46 30K
[   ]usbguard-notifier-0.1.0-r0.apk2023-02-09 01:54 30K
[   ]startup-bridge-dconf-2.0.3-r4.apk2023-07-03 00:04 30K
[   ]srain-lang-1.7.0-r0.apk2024-05-01 08:29 30K
[   ]tree-sitter-ron-0_git20220508-r1.apk2023-11-15 22:53 30K
[   ]py3-mpd2-3.1.1-r1.apk2024-04-15 23:03 30K
[   ]perl-statistics-descriptive-3.0801-r0.apk2023-07-13 15:39 30K
[   ]par-doc-1.53.0-r1.apk2022-10-28 17:21 30K
[   ]libxml++-dev-5.0.3-r1.apk2023-04-30 23:30 30K
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-04-15 23:03 30K
[   ]getdns-1.7.3-r0.apk2023-02-23 02:12 30K
[   ]linuxptp-nsm-4.2-r0.apk2023-12-20 19:54 30K
[   ]py3-gevent-websocket-pyc-0.10.1-r7.apk2024-04-15 23:03 30K
[   ]py3-findpython-pyc-0.6.1-r0.apk2024-04-26 01:13 30K
[   ]libmrss-dev-0.19.2-r1.apk2021-07-18 11:15 30K
[   ]musikcube-plugin-openmpt-3.0.2-r1.apk2023-12-13 21:24 31K
[   ]libaudec-static-0.3.4-r3.apk2023-07-30 00:01 31K
[   ]tang-dbg-14-r0.apk2023-07-23 16:03 31K
[   ]py3-livestream-pyc-2.0.0-r2.apk2024-04-17 04:54 31K
[   ]xfce4-fsguard-plugin-lang-1.1.3-r0.apk2023-08-03 09:40 31K
[   ]xfce4-systemload-plugin-1.3.2-r0.apk2023-05-02 17:17 31K
[   ]py3-ovos-config-0.0.12-r0.apk2024-05-31 08:16 31K
[   ]jbigkit-dev-2.1-r2.apk2022-10-28 17:20 31K
[   ]ocp-index-doc-1.3.6-r0.apk2024-03-23 21:50 31K
[   ]py3-daemon-3.0.1-r0.apk2024-05-29 03:30 31K
[   ]py3-lunr-0.6.2-r3.apk2024-04-15 23:03 31K
[   ]ldapdomaindump-pyc-0.9.4-r1.apk2024-04-15 23:03 31K
[   ]libsigrok-dev-0.5.2-r2.apk2023-05-15 18:46 31K
[   ]py3-rich-click-1.7.3-r1.apk2024-04-15 23:03 31K
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-04-11 02:39 31K
[   ]py3-pymata4-pyc-1.15-r3.apk2024-04-15 23:03 31K
[   ]octoprint-pisupport-2023.10.10-r0.apk2024-04-16 02:38 31K
[   ]py3-qt.py-1.3.10-r0.apk2024-04-15 23:03 31K
[   ]qt-jdenticon-0.3.0-r0.apk2024-04-27 23:46 31K
[   ]blip-doc-0.10-r0.apk2019-06-14 19:30 31K
[   ]py3-patatt-pyc-0.6.3-r1.apk2024-04-15 23:03 31K
[   ]libime-dev-1.1.7-r0.apk2024-05-10 05:34 31K
[   ]py3-telemetrix-pyc-1.20-r2.apk2024-04-15 23:03 31K
[   ]tcl-curl-7.22.0-r0.apk2023-01-18 20:33 31K
[   ]libbamf-doc-0.5.6-r1.apk2023-10-20 09:13 31K
[   ]i3status-rust-doc-0.33.1-r0.apk2024-04-08 21:59 31K
[   ]usbguard-dev-1.1.2-r8.apk2024-01-03 20:29 31K
[   ]py3-sphinx-autoapi-3.1.1-r0.apk2024-05-27 16:53 31K
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-03-30 07:39 31K
[   ]ccrtp-doc-2.1.2-r0.apk2022-06-04 23:00 31K
[   ]py3-hishel-0.0.27-r0.apk2024-06-03 22:49 31K
[   ]lomiri-download-manager-lang-0.1.3-r1.apk2024-04-22 19:58 31K
[   ]createrepo_c-dev-1.0.2-r1.apk2024-04-15 23:03 31K
[   ]plplot-5.15.0-r2.apk2022-10-28 17:21 31K
[   ]paprefs-1.2-r1.apk2023-08-01 06:55 31K
[   ]mp3gain-1.6.2-r2.apk2023-09-25 21:24 31K
[   ]pounce-3.1-r3.apk2024-01-03 15:12 31K
[   ]perl-git-repository-doc-1.325-r0.apk2024-02-05 17:09 32K
[   ]materia-gnome-shell-20210322-r1.apk2022-10-28 22:30 32K
[   ]materia-dark-gnome-shell-20210322-r1.apk2022-10-28 22:30 32K
[   ]libfort-0.4.2-r0.apk2021-10-03 08:14 32K
[   ]lomiri-location-service-dev-3.1.0-r1.apk2024-05-19 11:53 32K
[   ]py3-pymeta3-pyc-0.5.1-r5.apk2024-04-15 23:03 32K
[   ]materia-dark-compact-gnome-shell-20210322-r1.apk2022-10-28 22:30 32K
[   ]randrctl-pyc-1.9.0-r5.apk2024-04-15 23:03 32K
[   ]lizardfs-cgi-3.13.0-r13.apk2024-04-22 19:58 32K
[   ]materia-compact-gnome-shell-20210322-r1.apk2022-10-28 22:30 32K
[   ]unit-php81-1.32.1-r0.apk2024-04-10 18:20 32K
[   ]php83-pecl-zmq-1.1.4-r0.apk2023-11-22 17:24 32K
[   ]ocaml-mirage-profile-dev-0.9.1-r3.apk2024-03-23 21:49 32K
[   ]mm-common-doc-1.0.5-r0.apk2023-01-01 23:06 32K
[   ]mnamer-2.5.5-r1.apk2024-04-15 23:03 32K
[   ]ocaml-lwt_ssl-1.2.0-r0.apk2024-04-22 08:13 32K
[   ]dfl-sni-0.2.0-r0.apk2023-12-30 14:27 32K
[   ]plattenalbum-2.1.0-r0.apk2024-05-07 21:49 32K
[   ]ovos-gui-pyc-0.0.3_alpha5-r1.apk2024-04-15 23:03 32K
[   ]py3-pymaging-pyc-0.0.20130908-r9.apk2024-04-15 23:03 32K
[   ]php81-ldap-8.1.29-r0.apk2024-06-06 22:04 32K
[   ]py3-pysonic-pyc-1.0.1-r1.apk2024-04-15 23:03 32K
[   ]fcitx5-chewing-5.1.2-r0.apk2024-05-10 05:34 32K
[   ]lomiri-trust-store-lang-2.0.2-r1.apk2024-05-19 11:53 32K
[   ]libmdf-1.0.27-r0.apk2023-05-27 13:04 32K
[   ]libsymmetrica-dev-3.0.1-r2.apk2023-08-01 17:19 32K
[   ]py3-c3d-0.5.2-r1.apk2024-04-15 23:03 32K
[   ]py3-nptyping-pyc-2.5.0-r2.apk2024-04-15 09:15 32K
[   ]btfs-2.24-r12.apk2024-04-22 19:57 32K
[   ]mat2-0.13.4-r1.apk2023-10-19 18:09 32K
[   ]py3-yapsy-1.12.2-r7.apk2024-04-15 23:03 32K
[   ]py3-radon-6.0.1-r1.apk2024-04-15 23:03 32K
[   ]fox-calculator-1.6.57-r0.apk2022-08-08 12:58 32K
[   ]py3-pathvalidate-pyc-3.2.0-r1.apk2024-04-15 23:03 32K
[   ]spacenavd-1.2-r0.apk2023-02-25 15:09 32K
[   ]handlebars-dev-1.0.0-r1.apk2023-05-15 18:45 32K
[   ]py3-pyzor-1.0.0-r10.apk2024-04-15 23:03 32K
[   ]py3-mattermostdriver-pyc-7.3.2-r0.apk2024-05-27 04:18 32K
[   ]py3-discogs-client-pyc-2.7-r2.apk2024-04-15 23:03 32K
[   ]foolsm-1.0.21-r0.apk2022-05-21 14:41 33K
[   ]py3-django-suit-pyc-0.2.28-r7.apk2024-04-15 23:03 33K
[   ]ocaml-hex-1.5.0-r2.apk2024-03-23 21:49 33K
[   ]ovos-audio-pyc-0.0.2_alpha42-r1.apk2024-06-02 22:26 33K
[   ]php81-pecl-igbinary-3.2.15-r0.apk2024-04-11 02:39 33K
[   ]perl-css-object-doc-0.1.6-r0.apk2024-02-24 13:59 33K
[   ]py3-euclid3-pyc-0.01-r7.apk2024-04-15 23:03 33K
[   ]ngs-aws-0.2.14-r0.apk2022-10-09 00:04 33K
[   ]crazydiskinfo-1.1.0-r1.apk2022-10-28 17:20 33K
[   ]perl-opentracing-doc-1.006-r0.apk2024-01-15 21:58 33K
[   ]py3-pyautogui-0.9.53-r4.apk2024-04-15 23:03 33K
[   ]moosefs-metalogger-3.0.117-r1.apk2023-06-17 23:06 33K
[   ]py3-ffmpeg-pyc-0.2.0-r3.apk2024-04-15 23:03 33K
[   ]py3-libmdbx-pyc-0.10.2-r6.apk2024-04-17 04:54 33K
[   ]py3-limits-3.12.0-r0.apk2024-05-13 09:58 33K
[   ]py3-ovos-config-pyc-0.0.12-r0.apk2024-05-31 08:16 33K
[   ]py3-dkimpy-1.1.6-r0.apk2024-04-24 23:04 33K
[   ]cluster-glue-doc-1.0.12-r5.apk2023-04-30 23:30 33K
[   ]py3-trivup-0.12.2-r1.apk2024-04-15 23:03 33K
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-01-13 14:37 33K
[   ]power-profiles-daemon-0.20-r1.apk2024-04-02 11:39 33K
[   ]lomiri-api-0.2.1-r0.apk2023-11-06 18:37 33K
[   ]mpop-doc-1.4.18-r0.apk2023-01-31 23:00 33K
[   ]pptpclient-1.10.0-r4.apk2023-07-04 00:52 33K
[   ]ocaml-ca-certs-0.2.2-r2.apk2024-03-23 21:49 33K
[   ]php81-exif-8.1.29-r0.apk2024-06-06 22:04 33K
[   ]bakelite-0.4.2-r0.apk2022-04-28 18:37 33K
[   ]py3-protego-0.3.0-r1.apk2024-04-15 23:03 33K
[   ]opentelemetry-cpp-exporter-otlp-common-1.11.0-r3.apk2024-05-25 07:22 33K
[   ]urlwatch-doc-2.28-r1.apk2024-04-17 04:54 33K
[   ]perl-json-validator-doc-5.14-r0.apk2024-01-14 13:52 33K
[   ]libm4ri-dev-20200125-r4.apk2023-08-01 17:19 33K
[   ]lomiri-indicator-location-lang-0_git20231227-r0.apk2024-03-15 18:50 33K
[   ]linuxptp-doc-4.2-r0.apk2023-12-20 19:54 33K
[   ]ocaml-mirage-clock-4.2.0-r2.apk2024-03-23 21:49 33K
[   ]lomiri-api-dev-0.2.1-r0.apk2023-11-06 18:37 33K
[   ]linuxptp-ts2phc-4.2-r0.apk2023-12-20 19:54 33K
[   ]droidcam-gui-2.1.3-r0.apk2024-04-06 01:22 33K
[   ]apk-tools3-doc-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 33K
[   ]musikcube-plugin-taglibreader-3.0.2-r1.apk2023-12-13 21:24 33K
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-04-15 23:03 34K
[   ]fcitx5-gtk4-5.1.3-r0.apk2024-05-10 05:34 34K
[   ]linuxptp-pmc-4.2-r0.apk2023-12-20 19:54 34K
[   ]py3-unicorn-2.0.1-r4.apk2024-04-19 17:15 34K
[   ]jhead-3.08-r0.apk2023-07-23 16:03 34K
[   ]jalv-gtk-1.6.8-r1.apk2023-07-30 00:01 34K
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-04-15 23:03 34K
[   ]perl-mojolicious-plugin-openapi-doc-5.09-r0.apk2024-01-14 13:52 34K
[   ]ttdl-doc-4.3.0-r0.apk2024-05-13 09:58 34K
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-04-15 23:03 34K
[   ]py3-xapp-2.4.1-r1.apk2024-04-15 23:03 34K
[   ]py3-furl-pyc-2.1.3-r2.apk2023-04-22 18:10 34K
[   ]getdns-dev-1.7.3-r0.apk2023-02-23 02:12 34K
[   ]aufs-util-doc-20161219-r2.apk2023-12-07 16:23 34K
[   ]jack_capture-0.9.73_git20210429-r2.apk2022-07-01 04:16 34K
[   ]php81-imap-8.1.29-r0.apk2024-06-06 22:04 34K
[   ]trantor-dev-1.5.18-r0.apk2024-05-04 22:50 34K
[   ]bcg729-1.1.1-r0.apk2020-12-02 09:33 34K
[   ]noson-dev-2.10.3-r0.apk2023-06-17 00:20 34K
[   ]py3-manuel-1.12.4-r2.apk2024-04-15 23:03 34K
[   ]pipeline-lang-1.15.0-r0.apk2024-05-29 00:45 34K
[   ]libqtdbustest-0.3.2-r0.apk2023-11-06 18:37 34K
[   ]bgpq4-1.12-r0.apk2024-02-13 23:39 34K
[   ]py3-mopidy-local-pyc-3.2.1-r3.apk2024-04-15 23:03 34K
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-04-15 23:03 34K
[   ]ocaml-ezxmlm-1.1.0-r0.apk2024-04-22 08:13 34K
[   ]trafficserver9-plugin-s3auth-9.2.4-r0.apk2024-04-05 15:43 34K
[   ]ocaml-mirage-clock-dev-4.2.0-r2.apk2024-03-23 21:49 34K
[   ]py3-pymaging-png-0.0.20130727-r9.apk2024-04-15 23:03 34K
[   ]turnstile-0.1.8-r0.apk2023-09-03 06:03 34K
[   ]aravis-dev-0.8.31-r0.apk2024-03-16 18:38 34K
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-04-16 03:32 34K
[   ]tree-sitter-xml-0.6.3-r0.apk2024-05-10 14:57 34K
[   ]usbmuxd-1.1.1-r6.apk2024-02-05 20:31 34K
[   ]tree-sitter-hare-0_git20230616-r1.apk2023-11-15 22:53 34K
[   ]py3-redmine-2.4.0-r3.apk2024-04-15 23:03 35K
[   ]mono-lang-6.12.0.205-r1.apk2023-07-30 00:01 35K
[   ]sblim-sfcc-doc-2.2.8-r2.apk2023-05-15 18:46 35K
[   ]linuxptp-phc2sys-4.2-r0.apk2023-12-20 19:54 35K
[   ]dfl-applications-0.2.0-r0.apk2023-12-30 14:27 35K
[   ]ruff-lsp-pyc-0.0.53-r0.apk2024-04-16 13:19 35K
[   ]py3-pysonic-1.0.1-r1.apk2024-04-15 23:03 35K
[   ]tabby-3.1-r1.apk2023-11-26 00:42 35K
[   ]pimd-doc-3.0_git20220201-r0.apk2022-03-06 11:30 35K
[   ]nwg-displays-pyc-0.3.13-r1.apk2024-04-15 23:03 35K
[   ]fcitx5-gtk3-5.1.3-r0.apk2024-05-10 05:34 35K
[   ]vcstool-0.3.0-r5.apk2024-04-15 23:03 35K
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2023-06-17 00:20 35K
[   ]cocogitto-doc-6.1.0-r0.apk2024-03-15 13:14 35K
[   ]perl-net-mqtt-doc-1.163170-r0.apk2024-04-16 19:38 35K
[   ]dnsperf-doc-2.14.0-r0.apk2024-01-19 10:40 35K
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-04-15 23:03 35K
[   ]perl-ryu-doc-3.005-r0.apk2024-01-15 21:58 35K
[   ]libretro-freeintv-0_git20220319-r0.apk2022-04-21 12:02 35K
[   ]luapak-0.1.0_beta5-r0.apk2017-07-28 23:03 35K
[   ]py3-dataclasses-json-pyc-0.6.6-r0.apk2024-05-27 19:52 35K
[   ]lshell-pyc-0.9.18-r11.apk2024-04-15 23:03 35K
[   ]py3-cookiecutter-2.6.0-r1.apk2024-04-15 23:03 35K
[   ]xfce4-hamster-plugin-1.17-r0.apk2022-02-22 09:20 35K
[   ]py3-bitstruct-8.19.0-r1.apk2024-04-15 23:03 36K
[   ]kodi-audioencoder-vorbis-20.2.0-r1.apk2023-07-03 00:03 36K
[   ]py3-tidalapi-0.7.4-r1.apk2024-04-15 23:03 36K
[   ]py3-tg-0.19.0-r4.apk2024-04-15 23:03 36K
[   ]libcork-0.15.0-r7.apk2023-12-07 16:23 36K
[   ]py3-mopidy-tidal-pyc-0.3.2-r5.apk2024-04-15 23:03 36K
[   ]py3-qasync-0.19.0-r1.apk2024-04-15 23:03 36K
[   ]ocaml-rresult-dev-0.7.0-r2.apk2024-03-23 21:50 36K
[   ]php81-pecl-oauth-2.0.7-r0.apk2022-05-10 22:26 36K
[   ]litterbox-1.9-r1.apk2023-04-08 04:34 36K
[   ]gutenprint-dev-5.3.4-r3.apk2023-05-21 19:06 36K
[   ]razercfg-pyc-0.42-r6.apk2024-04-15 23:03 36K
[   ]dfu-programmer-1.1.0-r0.apk2023-07-10 22:18 36K
[   ]coventry-doc-0.8.1-r0.apk2024-02-24 16:01 36K
[   ]py3-pytaglib-1.5.0-r3.apk2024-04-15 23:03 36K
[   ]py3-librtmp-0.3.0-r6.apk2024-04-15 23:03 36K
[   ]qperf-0.4.11-r1.apk2022-10-28 17:21 36K
[   ]spnavcfg-1.1-r0.apk2023-02-13 07:42 36K
[   ]hardened-malloc-12-r1.apk2023-11-20 14:26 36K
[   ]py3-mando-pyc-0.7.1-r2.apk2024-04-15 23:03 36K
[   ]py3-docformatter-pyc-1.7.5-r3.apk2024-04-15 23:03 36K
[   ]libmpfi-1.5.4-r2.apk2023-08-01 17:19 36K
[   ]xfce4-docklike-plugin-lang-0.4.2-r0.apk2023-12-27 00:21 36K
[   ]py3-cstruct-pyc-5.3-r1.apk2024-04-15 23:03 36K
[   ]moon-buggy-1.0.51-r1.apk2022-10-28 17:21 36K
[   ]ansible-bender-0.10.1-r2.apk2024-04-15 09:15 36K
[   ]g4music-lang-3.6-r0.apk2024-06-01 15:05 36K
[   ]peg-0.1.18-r1.apk2022-10-28 17:21 36K
[   ]php81-session-8.1.29-r0.apk2024-06-06 22:04 36K
[   ]ppl-1.2-r1.apk2023-05-15 18:46 36K
[   ]php81-pecl-rdkafka-6.0.3-r2.apk2024-04-11 02:39 36K
[   ]lshell-0.9.18-r11.apk2024-04-15 23:03 36K
[   ]py3-pyqrcode-1.2.1-r0.apk2024-05-09 00:37 37K
[   ]py3-nose-of-yeti-pyc-2.4.9-r0.apk2024-05-29 00:31 37K
[   ]burp-server-3.1.4-r0.apk2023-03-18 22:43 37K
[   ]straw-viewer-doc-0.1.3-r2.apk2023-07-04 00:52 37K
[   ]php82-pecl-oauth-2.0.8-r0.apk2022-12-12 17:42 37K
[   ]py3-rpio-0.10.1-r7.apk2024-04-15 23:03 37K
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r1.apk2023-04-30 23:30 37K
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r3.apk2024-04-15 23:03 37K
[   ]trafficserver9-plugin-cachekey-9.2.4-r0.apk2024-04-05 15:43 37K
[   ]darts-clone-0_git20181117-r0.apk2022-01-27 02:59 37K
[   ]lomiri-calculator-app-lang-4.0.2-r0.apk2024-03-15 18:50 37K
[   ]py3-utils-pyc-3.8.1-r1.apk2024-04-15 23:03 37K
[   ]php81-sockets-8.1.29-r0.apk2024-06-06 22:04 37K
[   ]php81-curl-8.1.29-r0.apk2024-06-06 22:04 37K
[   ]plfit-static-0.9.4-r2.apk2023-08-01 17:19 37K
[   ]py3-astral-3.2-r3.apk2024-04-15 23:03 37K
[   ]perl-test-unit-0.25-r4.apk2023-12-17 23:58 37K
[   ]perl-libapreq2-doc-2.17-r1.apk2023-07-04 00:52 37K
[   ]normaliz-3.10.2-r1.apk2024-04-22 19:58 37K
[   ]py3-aesedb-0.1.6-r2.apk2024-04-15 23:03 37K
[   ]py3-puremagic-1.23-r0.apk2024-05-29 00:45 37K
[   ]jedi-language-server-pyc-0.41.4-r0.apk2024-04-17 13:21 37K
[   ]rtptools-1.22-r2.apk2022-10-28 17:21 37K
[   ]py3-fastdiff-0.3.0-r4.apk2024-04-15 23:03 37K
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-04-15 23:03 37K
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2023-07-13 15:39 38K
[   ]arc-gtk2-20221218-r0.apk2023-01-07 14:34 38K
[   ]arc-lighter-gtk2-20221218-r0.apk2023-01-07 14:34 38K
[   ]libmedc-dev-4.1.1-r3.apk2024-05-04 15:42 38K
[   ]csol-1.6.0-r0.apk2023-03-16 02:55 38K
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-01-27 16:48 38K
[   ]libdjinterop-dev-0.20.2-r0.apk2024-06-05 23:00 38K
[   ]symbiyosys-0.36-r0.apk2023-12-18 22:24 38K
[   ]gmenuharness-0.1.4-r0.apk2023-11-06 18:36 38K
[   ]py3-pure_protobuf-pyc-3.0.1-r2.apk2024-04-15 23:03 38K
[   ]tcl-curl-doc-7.22.0-r0.apk2023-01-18 20:33 38K
[   ]trafficserver9-plugin-prefetch-9.2.4-r0.apk2024-04-05 15:43 38K
[   ]flamegraph-1.0_git20220918-r1.apk2023-12-19 15:55 38K
[   ]ecos-2.0.10-r0.apk2022-05-23 22:54 38K
[   ]py3-milc-pyc-1.8.0-r1.apk2024-04-15 23:03 38K
[   ]materia-dark-compact-gtk2-20210322-r1.apk2022-10-28 22:30 38K
[   ]materia-dark-gtk2-20210322-r1.apk2022-10-28 22:30 38K
[   ]slidge-matridge-pyc-0_git20240208-r1.apk2024-04-15 23:03 38K
[   ]materia-gtk2-20210322-r1.apk2022-10-28 22:30 38K
[   ]materia-compact-gtk2-20210322-r1.apk2022-10-28 22:30 38K
[   ]libhx-4.21-r0.apk2023-12-23 13:59 38K
[   ]xfce4-mpc-plugin-lang-0.5.3-r0.apk2023-08-02 10:00 38K
[   ]cpufetch-1.05-r0.apk2024-02-06 16:32 38K
[   ]libtcmu-1.6.0-r5.apk2023-09-01 09:39 38K
[   ]alttab-1.7.1-r0.apk2023-06-17 00:18 38K
[   ]fcitx5-lua-5.0.13-r0.apk2024-05-10 05:34 38K
[   ]py3-sh-2.0.6-r1.apk2024-04-15 23:03 38K
[   ]logwatch-doc-7.10-r1.apk2024-05-05 17:28 38K
[   ]sigrok-cli-0.7.2-r0.apk2022-09-19 12:28 38K
[   ]ocaml-gmap-0.3.0-r2.apk2024-03-23 21:49 38K
[   ]plfit-libs-0.9.4-r2.apk2023-08-01 17:19 38K
[   ]wput-0.6.2-r4.apk2022-10-14 17:08 38K
[   ]arc-dark-gtk2-20221218-r0.apk2023-01-07 14:34 38K
[   ]zycore-dev-1.5.0-r0.apk2024-04-06 00:35 38K
[   ]libopensles-standalone-0_git20240221-r0.apk2024-04-29 09:26 38K
[   ]gf2x-1.3.0-r0.apk2021-02-18 13:35 38K
[   ]arc-darker-gtk2-20221218-r0.apk2023-01-07 14:34 39K
[   ]howard-bc-doc-6.7.5-r0.apk2024-01-08 10:42 39K
[   ]spampd-2.61-r1.apk2022-10-02 16:56 39K
[   ]extundelete-0.2.4-r1.apk2022-10-14 17:08 39K
[   ]libctl-dev-4.5.1-r1.apk2023-05-15 18:46 39K
[   ]ecasound-doc-2.9.3-r3.apk2023-09-25 21:24 39K
[   ]libbsoncxx-dev-3.8.0-r0.apk2023-08-19 08:39 39K
[   ]py3-twiggy-pyc-0.5.1-r3.apk2024-04-15 23:03 39K
[   ]py3-dep-logic-pyc-0.2.0-r1.apk2024-04-15 23:03 39K
[   ]mergerfs-doc-2.38.1-r0.apk2024-01-22 14:52 39K
[   ]xfce4-systemload-plugin-lang-1.3.2-r0.apk2023-05-02 17:17 39K
[   ]sblg-0.5.11-r0.apk2023-02-06 20:33 39K
[   ]log4cpp-dev-1.1.4-r1.apk2023-05-15 18:46 39K
[   ]nfoview-2.0.1-r0.apk2024-05-19 16:05 39K
[   ]py3-mopidy-spotify-pyc-5.0.0_alpha2-r0.apk2024-04-17 17:28 39K
[   ]perl-net-pcap-0.21-r0.apk2024-04-16 19:38 39K
[   ]libserialport-dev-0.1.1-r1.apk2022-02-06 10:44 39K
[   ]theme.sh-1.1.5-r0.apk2023-09-05 11:55 39K
[   ]py3-zope-configuration-5.0.1-r2.apk2024-04-15 23:03 39K
[   ]m17n-lib-dev-1.8.4-r1.apk2023-09-19 23:47 39K
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-04-15 15:54 39K
[   ]py3-python-iptables-1.0.1-r1.apk2024-04-15 23:03 39K
[   ]paprefs-lang-1.2-r1.apk2023-08-01 06:55 39K
[   ]pixiewps-1.4.2-r1.apk2022-07-26 07:59 39K
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-01-12 03:25 39K
[   ]perl-net-curl-doc-0.56-r0.apk2024-04-03 16:16 39K
[   ]optee-client-libs-3.20.0-r0.apk2023-03-01 18:43 39K
[   ]crossplane-pyc-0.5.8-r2.apk2024-04-15 23:03 39K
[   ]py3-pyte-pyc-0.8.2-r1.apk2024-04-15 23:03 39K
[   ]sturmreader-lang-3.7.2-r0.apk2023-10-22 10:34 39K
[   ]soapy-bladerf-0.4.1-r0.apk2022-06-09 14:34 40K
[   ]py3-compdb-pyc-0.2.0-r7.apk2024-04-15 23:03 40K
[   ]py3-fpdf-1.7.2-r5.apk2024-04-15 23:03 40K
[   ]apache2-mod-perl-dev-2.0.13-r0.apk2023-10-22 14:57 40K
[   ]py3-irc-20.4.0-r0.apk2024-06-02 19:39 40K
[   ]litehtml-dev-0.8-r2.apk2023-08-01 17:19 40K
[   ]bordeaux-dev-0.8.1-r0.apk2024-02-26 22:07 40K
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2022-12-04 04:49 40K
[   ]py3-sphobjinv-2.3.1.1-r0.apk2024-05-22 16:09 40K
[   ]gpg-remailer-3.04.07-r0.apk2022-12-30 12:43 40K
[   ]ocaml-lwt-dllist-dev-1.0.1-r3.apk2024-03-23 21:49 40K
[   ]py3-feedgen-1.0.0-r1.apk2024-04-15 23:03 40K
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2022-12-04 04:49 40K
[   ]repo-doc-2.42-r0.apk2024-03-05 00:55 40K
[   ]py3-pyvcd-pyc-0.4.0-r1.apk2024-04-15 23:03 40K
[   ]xfce4-verve-plugin-lang-2.0.3-r0.apk2023-08-07 11:01 40K
[   ]qspectrumanalyzer-2.2.0-r4.apk2024-04-15 23:03 40K
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-04-15 23:03 40K
[   ]avra-1.4.2-r0.apk2023-08-21 09:01 40K
[   ]ovos-gui-0.0.3_alpha5-r1.apk2024-04-15 23:03 40K
[   ]peervpn-0.044-r5.apk2022-08-04 10:48 40K
[   ]py3-flask-restless-0.17.0-r9.apk2024-04-15 23:03 40K
[   ]libtommath-1.2.1-r0.apk2023-10-06 18:20 41K
[   ]ocaml-ca-certs-dev-0.2.2-r2.apk2024-03-23 21:49 41K
[   ]supercollider-dev-3.13.0-r5.apk2024-05-21 18:39 41K
[   ]py3-ward-0.67.0_beta0-r2.apk2024-04-15 23:03 41K
[   ]py3-ovos-backend-client-0.1.0-r0.apk2024-05-31 08:16 41K
[   ]youtube-viewer-doc-3.11.1-r0.apk2024-03-14 18:22 41K
[   ]imapfilter-2.8.2-r0.apk2023-12-31 20:41 41K
[   ]py3-unearth-0.15.3-r0.apk2024-05-29 00:38 41K
[   ]yices2-dev-2.6.4-r0.apk2023-02-10 07:28 41K
[   ]py3-createrepo_c-1.0.2-r1.apk2024-04-15 23:03 41K
[   ]materia-dark-compact-gtk3-20210322-r1.apk2022-10-28 22:30 41K
[   ]materia-dark-gtk3-20210322-r1.apk2022-10-28 22:30 41K
[   ]py3-arpeggio-pyc-2.0.2-r1.apk2024-04-15 16:26 41K
[   ]cava-0.10.1-r0.apk2024-02-03 17:47 42K
[   ]mimeo-pyc-2023-r1.apk2024-04-15 23:03 42K
[   ]imediff-2.6-r1.apk2024-04-15 23:03 42K
[   ]py3-markdown2-2.4.13-r1.apk2024-04-15 23:03 42K
[   ]py3-diskcache-5.6.3-r1.apk2024-04-15 23:03 42K
[   ]lomiri-content-hub-lang-1.1.1-r0.apk2024-02-10 14:53 42K
[   ]font-monocraft-3.0-r0.apk2023-06-17 00:19 42K
[   ]clapper-lang-0.6.0-r0.apk2024-04-26 23:56 42K
[   ]openfortivpn-1.21.0-r0.apk2024-02-09 02:01 42K
[   ]reaction-tools-1.4.0-r0.apk2024-05-31 12:59 42K
[   ]kismet-nrf-51822-0.202307.1-r2.apk2023-11-15 17:49 42K
[   ]tree-sitter-make-0_git20211216-r2.apk2023-11-15 22:53 42K
[   ]py3-mistletoe-1.2.1-r1.apk2024-04-15 23:03 42K
[   ]libgedit-amtk-doc-5.8.0-r1.apk2024-05-29 20:03 42K
[   ]dooit-2.2.0-r1.apk2024-04-15 23:03 42K
[   ]azpainter-doc-3.0.7-r0.apk2023-11-20 15:59 42K
[   ]py3-dunamai-pyc-1.21.1-r0.apk2024-05-26 16:59 42K
[   ]wasmtime-dev-18.0.3-r0.apk2024-03-16 22:54 42K
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-04-15 23:03 42K
[   ]git-revise-pyc-0.7.0-r4.apk2024-04-15 23:03 42K
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-04-15 23:03 42K
[   ]sflowtool-6.02-r0.apk2023-11-13 23:59 42K
[   ]uxn-1.0-r0.apk2024-03-23 20:25 42K
[   ]py3-openwisp-utils-pyc-1.0.4-r2.apk2024-04-15 23:03 42K
[   ]libfyaml-dev-0.9-r0.apk2023-12-21 23:36 42K
[   ]php81-pdo-8.1.29-r0.apk2024-06-06 22:04 42K
[   ]nuklear-doc-4.12.0-r0.apk2024-02-18 02:31 42K
[   ]volumeicon-0.5.1-r1.apk2022-10-28 17:21 42K
[   ]py3-progressbar2-pyc-4.2.0-r2.apk2024-04-15 23:03 42K
[   ]commoncpp-tools-7.0.1-r1.apk2022-08-04 10:46 42K
[   ]m17n-lib-tools-1.8.4-r1.apk2023-09-19 23:47 42K
[   ]py3-colander-pyc-2.0-r1.apk2024-04-15 23:03 42K
[   ]py3-pbs-installer-2024.4.24-r0.apk2024-05-31 00:34 43K
[   ]py3-syrupy-4.6.1-r1.apk2024-04-15 23:03 43K
[   ]liberasurecode-1.6.3-r1.apk2023-05-15 18:46 43K
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r3.apk2024-05-25 07:22 43K
[   ]py3-pebble-pyc-5.0.7-r1.apk2024-04-15 23:03 43K
[   ]py3-ovos-bus-client-0.0.8-r0.apk2024-05-31 08:16 43K
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-04-15 23:03 43K
[   ]py3-bookkeeper-4.16.2-r1.apk2024-04-15 23:03 43K
[   ]fast_float-5.2.0-r1.apk2023-08-01 17:19 43K
[   ]mepo-scripts-1.2.1-r0.apk2024-05-10 06:00 43K
[   ]pebble-le-dev-0.3.0-r1.apk2024-04-22 19:58 43K
[   ]gpscorrelate-2.0_git20230605-r0.apk2023-06-17 00:19 43K
[   ]ocaml-rresult-0.7.0-r2.apk2024-03-23 21:50 43K
[   ]py3-pysimplesoap-1.16.2-r6.apk2024-04-15 23:03 43K
[   ]j4-dmenu-desktop-2.18-r1.apk2022-08-06 14:39 43K
[   ]perl-extutils-xsbuilder-0.28-r5.apk2023-07-04 00:52 43K
[   ]primesieve-12.3-r0.apk2024-05-01 19:11 43K
[   ]hangover-wine-doc-9.5-r0.apk2024-04-01 21:00 43K
[   ]py3-zope-schema-7.0.1-r2.apk2024-04-15 23:03 43K
[   ]py3-coreapi-pyc-2.3.3-r8.apk2024-04-15 23:03 43K
[   ]py3-cdio-pyc-2.1.1-r4.apk2024-04-15 23:03 43K
[   ]libantic-0.2.5-r0.apk2022-11-02 03:36 43K
[   ]php81-mysqli-8.1.29-r0.apk2024-06-06 22:04 43K
[   ]s-postgray-0.8.2-r0.apk2024-03-15 20:09 43K
[   ]ocaml-mirage-kv-dev-4.0.1-r3.apk2024-03-23 21:49 43K
[   ]somebar-1.0.3-r0.apk2023-06-17 00:21 43K
[   ]xone-src-0.3_git20230517-r0.apk2023-07-26 08:22 43K
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-04-02 11:39 43K
[   ]hub-doc-2.14.2-r23.apk2024-05-19 01:28 43K
[   ]granite7-dev-7.4.0-r0.apk2023-12-23 13:59 43K
[   ]plzip-1.11-r0.apk2024-01-25 16:53 44K
[   ]kismet-nxp-kw41z-0.202307.1-r2.apk2023-11-15 17:49 44K
[   ]rhasspy-nlu-0.4.0-r3.apk2024-04-15 23:03 44K
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-01-12 14:36 44K
[   ]imediff-pyc-2.6-r1.apk2024-04-15 23:03 44K
[   ]apulse-0.1.13-r2.apk2024-05-25 12:05 44K
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-04-15 23:03 44K
[   ]py3-rtree-pyc-1.1.0-r1.apk2024-04-15 23:03 44K
[   ]kodi-audioencoder-flac-20.2.0-r1.apk2023-07-03 00:03 44K
[   ]libbsoncxx-3.8.0-r0.apk2023-08-19 08:39 44K
[   ]perl-xml-stream-1.24-r0.apk2024-01-03 20:16 44K
[   ]sentinel-proxy-2.1.0-r0.apk2023-11-18 18:32 44K
[   ]py3-shodan-1.31.0-r1.apk2024-04-15 23:03 44K
[   ]sentinel-minipot-2.3.0-r1.apk2023-12-13 19:13 44K
[   ]ocaml-duration-dev-0.2.0-r2.apk2024-03-23 21:49 44K
[   ]ocaml-happy-eyeballs-lwt-0.3.0-r3.apk2024-03-23 21:49 44K
[   ]perl-sql-abstract-doc-2.000001-r2.apk2023-07-04 00:52 44K
[   ]xfce4-panel-profiles-lang-1.0.14-r1.apk2023-12-11 09:36 44K
[   ]py3-bandwidth-sdk-3.1.0-r7.apk2024-04-15 23:03 44K
[   ]xfce4-netload-plugin-lang-1.4.1-r0.apk2023-08-04 16:52 44K
[   ]py3-pycosat-0.6.6-r1.apk2024-04-15 23:03 45K
[   ]ovos-dinkum-listener-pyc-0.0.2-r1.apk2024-05-31 08:16 45K
[   ]php81-pecl-memcache-8.2-r1.apk2024-04-11 02:39 45K
[   ]git-filter-repo-2.38.0-r0.apk2023-10-15 01:21 45K
[   ]pantalaimon-0.10.5-r4.apk2024-04-15 16:59 45K
[   ]ubase-20200605-r2.apk2022-10-28 17:21 45K
[   ]py3-litex-hub-pythondata-cpu-minerva-2023.12-r4.apk2024-04-15 23:03 45K
[   ]lomiri-url-dispatcher-0.1.3-r1.apk2024-04-15 23:03 45K
[   ]php81-pgsql-8.1.29-r0.apk2024-06-06 22:04 45K
[   ]dislocker-libs-0.7.3-r5.apk2024-04-15 09:15 45K
[   ]ettercap-doc-0.8.3.1-r2.apk2022-10-18 05:56 45K
[   ]grommunio-index-1.0-r1.apk2024-05-24 14:40 45K
[   ]persistent-cache-cpp-1.0.7-r1.apk2024-04-22 19:58 45K
[   ]bananui-daemons-0.1.0-r0.apk2023-10-06 07:49 45K
[   ]sndfile-tools-1.5-r1.apk2023-07-30 00:01 45K
[   ]bitlbee-mastodon-1.4.5-r0.apk2022-07-05 17:17 45K
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2023-06-17 00:20 45K
[   ]py3-pyautogui-pyc-0.9.53-r4.apk2024-04-15 23:03 45K
[   ]py3-aiowinreg-pyc-0.0.12-r0.apk2024-05-13 09:58 45K
[   ]kismet-linux-bluetooth-0.202307.1-r2.apk2023-11-15 17:49 46K
[   ]glfw-wayland-dev-3.3.8-r3.apk2023-07-04 02:01 46K
[   ]py3-distorm3-3.5.2-r5.apk2024-04-15 23:03 46K
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-04-15 23:03 46K
[   ]railway-lang-2.5.0-r0.apk2024-05-03 21:46 46K
[   ]nvim-packer-0.0.0_git20220910-r0.apk2022-10-13 22:58 46K
[   ]ocfs2-tools-dev-1.8.7-r2.apk2023-05-15 18:46 46K
[   ]lomiri-libusermetrics-lang-1.3.2-r0.apk2024-02-07 01:49 46K
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-04-02 11:39 46K
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-04-15 23:03 46K
[   ]ocaml-stringext-1.6.0-r2.apk2024-03-23 21:50 46K
[   ]tree-sitter-hcl-1.1.0-r1.apk2023-11-15 22:53 46K
[   ]spiritvnc-0.6.0-r0.apk2023-12-18 02:36 46K
[   ]py3-dogpile.cache-1.2.2-r1.apk2024-04-15 23:03 46K
[   ]bionic_translation-0_git20240525-r0.apk2024-05-28 12:54 46K
[   ]dublin-traceroute-0.4.2-r3.apk2023-09-16 23:20 46K
[   ]php81-pecl-memcached-3.2.0-r3.apk2024-04-11 02:39 46K
[   ]libqofono-dev-0.122-r0.apk2023-12-24 16:57 47K
[   ]gtkhash-lang-1.5-r0.apk2022-10-01 23:16 47K
[   ]dmarc-metrics-exporter-pyc-1.0.0-r2.apk2024-04-15 23:03 47K
[   ]endeavour-dev-43.0-r1.apk2024-03-23 20:25 47K
[   ]py3-gls-1.3.1-r1.apk2024-04-15 23:03 47K
[   ]fcitx5-chinese-addons-lang-5.1.5-r0.apk2024-05-10 05:34 47K
[   ]py3-marshmallow-3.21.2-r0.apk2024-05-27 19:54 47K
[   ]fcitx5-configtool-lang-5.1.5-r0.apk2024-05-10 05:34 47K
[   ]fplll-5.4.5-r0.apk2023-10-22 10:04 47K
[   ]gsettings-qt-0.2_git20220807-r0.apk2023-11-06 18:36 47K
[   ]py3-soappy-0.52.28-r2.apk2024-04-15 23:03 47K
[   ]py3-ovos-ocp-files-plugin-0.13.0-r1.apk2024-04-15 23:03 47K
[   ]py3-rosdistro-0.9.0-r3.apk2024-04-15 23:03 47K
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-04-15 23:03 47K
[   ]libgedit-tepl-lang-6.10.0-r1.apk2024-05-29 20:03 47K
[   ]clevis-19-r0.apk2023-01-29 20:27 47K
[   ]clinfo-3.0.23.01.25-r0.apk2023-02-10 11:38 47K
[   ]py3-dt-schema-pyc-2024.04-r0.apk2024-04-19 04:47 47K
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-05-09 00:37 47K
[   ]ocaml-ethernet-3.0.0-r3.apk2024-03-23 21:49 47K
[   ]acmetool-doc-0.2.2-r6.apk2024-05-19 01:28 47K
[   ]postgresql-pg_partman-doc-5.0.0-r0.apk2023-12-17 23:58 47K
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r0.apk2020-12-01 20:48 47K
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-04-15 23:03 47K
[   ]ocaml-lwt_ssl-dev-1.2.0-r0.apk2024-04-22 08:13 48K
[   ]py3-flask-limiter-pyc-3.7.0-r0.apk2024-05-20 09:38 48K
[   ]polyglot-doc-2.0.4-r1.apk2023-08-01 17:19 48K
[   ]startup-doc-2.0.3-r4.apk2023-07-03 00:04 48K
[   ]goomwwm-1.0.0-r4.apk2024-04-12 01:37 48K
[   ]urlwatch-2.28-r1.apk2024-04-17 04:54 48K
[   ]perl-regexp-grammars-doc-1.058-r0.apk2024-01-25 18:00 48K
[   ]py3-pbs-installer-pyc-2024.4.24-r0.apk2024-05-31 00:34 48K
[   ]spread-sheet-widget-0.8-r0.apk2021-11-13 23:25 48K
[   ]cvs-fast-export-1.65-r0.apk2024-02-17 05:37 48K
[   ]perl-test-unit-doc-0.25-r4.apk2023-12-17 23:58 48K
[   ]perl-net-async-redis-doc-6.000-r0.apk2024-01-28 17:22 48K
[   ]tremc-0.9.3-r0.apk2022-03-18 00:19 48K
[   ]watchdog-5.16-r1.apk2023-03-16 02:58 48K
[   ]ocaml-uuseg-dev-14.0.0-r2.apk2024-03-23 21:50 48K
[   ]levmar-dev-2.6-r0.apk2022-04-06 13:37 48K
[   ]bordeaux-generic-0.8.1-r0.apk2024-02-26 22:07 48K
[   ]ace-of-penguins-doc-1.4-r2.apk2022-03-01 11:21 48K
[   ]perl-net-jabber-doc-2.0-r0.apk2024-01-12 14:36 48K
[   ]i2util-dev-4.2.1-r1.apk2022-10-28 17:20 48K
[   ]py3-dkimpy-pyc-1.1.6-r0.apk2024-04-24 23:04 48K
[   ]py3-distorm3-pyc-3.5.2-r5.apk2024-04-15 23:03 48K
[   ]py3-enzyme-0.4.1-r4.apk2024-04-15 23:03 48K
[   ]py3-modbus-tk-pyc-1.1.1-r3.apk2024-04-15 23:03 49K
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-04-15 23:03 49K
[   ]py3-spotipy-pyc-2.23.0-r2.apk2024-04-15 23:03 49K
[   ]ocaml-mtime-dev-1.4.0-r2.apk2024-03-23 21:49 49K
[   ]coxeter-3.0-r1.apk2023-08-01 17:19 49K
[   ]py3-pyvows-pyc-3.0.0-r4.apk2024-04-15 23:03 49K
[   ]parcellite-lang-1.2.4.0-r0.apk2024-02-24 14:44 49K
[   ]ocaml-uuidm-0.9.8-r2.apk2024-03-23 21:50 49K
[   ]barman-doc-3.10.0-r1.apk2024-04-15 23:03 49K
[   ]xfce4-timer-plugin-lang-1.7.2-r0.apk2023-04-18 20:54 49K
[   ]perl-minion-doc-10.30-r0.apk2024-06-05 19:55 49K
[   ]libbraiding-1.2-r2.apk2023-08-01 17:19 49K
[   ]aravis-0.8.31-r0.apk2024-03-16 18:38 49K
[   ]py3-litex-hub-pythondata-misc-tapcfg-2023.12-r4.apk2024-04-15 23:03 49K
[   ]py3-osqp-dev-0.6.2-r5.apk2024-04-15 23:03 49K
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-04-15 09:15 49K
[   ]lynis-doc-3.1.1-r0.apk2024-03-18 01:13 49K
[   ]calibre-zsh-completion-7.12.0-r0.apk2024-06-01 04:33 49K
[   ]ytmdl-2024.04.14-r0.apk2024-05-13 09:58 49K
[   ]apk-tools3-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 49K
[   ]py3-python-jose-pyc-3.3.0-r2.apk2024-04-15 15:09 49K
[   ]xwaylandvideobridge-0.4.0-r1.apk2024-03-04 00:18 49K
[   ]barcode-0.99-r1.apk2023-01-03 14:56 49K
[   ]libantlr3c-3.4-r3.apk2023-05-15 18:46 49K
[   ]swaks-doc-20240103.0-r0.apk2024-01-08 10:43 50K
[   ]castero-0.9.5-r2.apk2023-04-23 21:07 50K
[   ]py3-radon-pyc-6.0.1-r1.apk2024-04-15 23:03 50K
[   ]opentelemetry-cpp-exporter-zipkin-1.11.0-r3.apk2024-05-25 07:22 50K
[   ]xdg-user-dirs-gtk-lang-0.11-r2.apk2023-10-31 12:12 50K
[   ]fox-pathfinder-1.6.57-r0.apk2022-08-08 12:58 50K
[   ]libzn_poly-0.9.2-r2.apk2023-08-01 17:19 50K
[   ]fiery-lang-1.1.2-r1.apk2024-02-05 16:35 50K
[   ]py3-zimscraperlib-3.2.0-r0.apk2024-01-21 16:57 50K
[   ]fcitx5-hangul-5.1.3-r0.apk2024-05-10 05:34 50K
[   ]py3-liblarch-pyc-3.2.0-r4.apk2024-04-15 23:03 50K
[   ]tcc-doc-0.9.27_git20240117-r0.apk2024-01-26 07:27 50K
[   ]xfce4-diskperf-plugin-lang-2.7.0-r0.apk2023-08-03 06:58 50K
[   ]milkytracker-doc-1.04.00-r2.apk2024-03-23 20:25 50K
[   ]pure-data-dev-0.54.1-r0.apk2023-11-12 18:45 50K
[   ]jalv-1.6.8-r1.apk2023-07-30 00:01 51K
[   ]perl-net-jabber-2.0-r0.apk2024-01-12 14:36 51K
[   ]py3-lunr-pyc-0.6.2-r3.apk2024-04-15 23:03 51K
[   ]perl-protocol-xmpp-doc-0.006-r0.apk2024-01-16 20:43 51K
[   ]sopwith-2.5.0-r0.apk2024-05-05 22:23 51K
[   ]createrepo_c-1.0.2-r1.apk2024-04-15 23:03 51K
[   ]tcc-dev-0.9.27_git20240117-r0.apk2024-01-26 07:27 51K
[   ]stgit-doc-1.3-r5.apk2024-04-15 23:03 51K
[   ]ocaml-bigstringaf-0.9.0-r2.apk2024-03-23 21:49 51K
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r1.apk2024-04-15 23:03 51K
[   ]py3-dnslib-0.9.24-r1.apk2024-04-15 23:03 51K
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-04-15 23:03 52K
[   ]clevis-dbg-19-r0.apk2023-01-29 20:27 52K
[   ]py3-redmine-pyc-2.4.0-r3.apk2024-04-15 23:03 52K
[   ]plfit-0.9.4-r2.apk2023-08-01 17:19 52K
[   ]php81-pecl-event-3.1.3-r0.apk2024-04-11 02:39 52K
[   ]py3-aioitertools-pyc-0.11.0-r0.apk2024-05-27 04:18 52K
[   ]py3-wstools-0.4.10-r6.apk2024-04-15 23:03 52K
[   ]py3-pymaging-png-pyc-0.0.20130727-r9.apk2024-04-15 23:03 52K
[   ]rkdeveloptool-1.1.0-r0.apk2022-02-03 03:09 52K
[   ]sshuttle-1.1.1-r2.apk2024-04-15 23:03 52K
[   ]openwsman-2.7.2-r4.apk2024-04-15 23:03 52K
[   ]py3-sphobjinv-pyc-2.3.1.1-r0.apk2024-05-22 16:09 52K
[   ]ocaml-tsdl-image-0.6-r0.apk2024-04-22 08:13 52K
[   ]megatools-doc-1.11.1.20230212-r1.apk2023-03-20 18:04 52K
[   ]granite7-lang-7.4.0-r0.apk2023-12-23 13:59 52K
[   ]libunicode-dev-0.4.0-r0.apk2024-01-19 01:29 52K
[   ]py3-pypubsub-4.0.3-r0.apk2024-05-04 15:42 52K
[   ]psftools-doc-1.1.1-r0.apk2022-01-11 21:17 52K
[   ]pimd-dense-2.1.0-r0.apk2023-01-14 02:44 53K
[   ]ocaml-ezxmlm-dev-1.1.0-r0.apk2024-04-22 08:13 53K
[   ]libstirshaken-0_git20240208-r2.apk2024-02-08 10:25 53K
[   ]varnish-modules-0.23.0-r1.apk2023-12-12 07:40 53K
[   ]ocaml-merlin-extend-0.6.1-r2.apk2024-03-23 21:49 53K
[   ]ccrtp-dev-2.1.2-r0.apk2022-06-04 23:00 53K
[   ]epoch-1.3.0-r1.apk2022-10-28 17:20 53K
[   ]perl-dbix-class-helpers-2.036000-r3.apk2023-07-04 00:52 53K
[   ]gingerbase-lang-2.3.0-r7.apk2024-04-15 23:03 53K
[   ]libupstart-2.0.3-r4.apk2023-07-03 00:03 53K
[   ]py3-pyatem-0.5.0-r3.apk2024-04-15 23:03 53K
[   ]py3-pytube-15.0.0-r1.apk2024-04-15 23:03 53K
[   ]recoll-dev-1.37.5-r1.apk2024-04-15 23:03 53K
[   ]libmpfi-static-1.5.4-r2.apk2023-08-01 17:19 53K
[   ]subliminal-2.1.0-r4.apk2024-04-15 23:03 53K
[   ]libiio-0.25-r1.apk2024-04-15 23:03 53K
[   ]xfce4-fsguard-plugin-1.1.3-r0.apk2023-08-03 09:40 53K
[   ]timew-doc-1.4.3-r1.apk2022-10-28 17:21 53K
[   ]khronos-4.0.1-r0.apk2023-10-15 01:22 53K
[   ]wmutils-1.7-r1.apk2023-10-15 01:24 53K
[   ]postgresql-pg_variables-bitcode-1.2.4_git20220909-r2.apk2023-10-04 07:52 54K
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-04-15 23:03 54K
[   ]py3-pyzor-pyc-1.0.0-r10.apk2024-04-15 23:03 54K
[   ]mcjoin-doc-2.11-r0.apk2022-09-12 10:03 54K
[   ]freediameter-dev-1.5.0-r1.apk2022-11-06 11:59 54K
[   ]kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk2023-07-03 00:03 54K
[   ]shine-3.1.1-r0.apk2017-08-25 00:59 54K
[   ]libzn_poly-static-0.9.2-r2.apk2023-08-01 17:19 54K
[   ]libarb-dev-2.23.0-r2.apk2023-08-01 17:19 54K
[   ]libucl-0.9.0-r0.apk2024-02-02 22:16 54K
[   ]waynergy-0.0.17-r0.apk2024-05-09 22:47 54K
[   ]xfce4-places-plugin-lang-1.8.3-r0.apk2022-12-16 11:47 54K
[   ]gtksourceviewmm4-dev-3.91.1-r2.apk2023-04-14 16:16 54K
[   ]cproc-0_git20230502-r0.apk2023-05-14 00:14 54K
[   ]py3-hiplot-pyc-0.1.33-r1.apk2024-04-15 23:03 54K
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-04-15 23:03 54K
[   ]ocaml-mtime-1.4.0-r2.apk2024-03-23 21:49 54K
[   ]pfqueue-0.5.6-r1.apk2022-10-14 17:08 54K
[   ]metalang99-1.13.3-r0.apk2023-05-16 14:28 54K
[   ]limkd-0.1.2-r0.apk2023-03-25 04:02 54K
[   ]ocaml-hex-dev-1.5.0-r2.apk2024-03-23 21:49 54K
[   ]perl-net-async-redis-6.000-r0.apk2024-01-28 17:22 55K
[   ]pulsar-client-cpp-dev-3.1.2-r4.apk2024-04-22 19:58 55K
[   ]fabric-3.2.2-r1.apk2024-04-15 23:03 55K
[   ]nemo-qml-plugin-alarms-0.3.10-r1.apk2021-11-01 17:09 55K
[   ]asahi-fwextract-0.7.1-r0.apk2024-04-16 13:35 55K
[   ]belle-sip-dev-5.3.38-r0.apk2024-04-15 09:15 55K
[   ]nvim-cmp-0.0.0_git20221011-r0.apk2022-10-13 22:58 55K
[   ]trafficserver9-plugin-lua-9.2.4-r0.apk2024-04-05 15:43 55K
[   ]py3-trivup-pyc-0.12.2-r1.apk2024-04-15 23:03 55K
[   ]postgresql-hll-bitcode-2.18-r0.apk2023-12-17 23:58 55K
[   ]elfio-dev-3.12-r0.apk2023-08-30 10:12 55K
[   ]lua5.2-lanes-3.16.0-r1.apk2024-04-04 13:35 55K
[   ]kodi-vfs-sftp-20.2.0-r1.apk2023-07-03 00:03 55K
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-04-02 11:39 55K
[   ]py3-sh-pyc-2.0.6-r1.apk2024-04-15 23:03 55K
[   ]portsmf-239-r1.apk2023-03-18 22:44 55K
[   ]lua5.4-lanes-3.16.0-r1.apk2024-04-04 13:35 55K
[   ]lua5.1-lanes-3.16.0-r1.apk2024-04-04 13:35 55K
[   ]terminalpp-ropen-0.8.4-r0.apk2022-10-13 05:21 55K
[   ]lomiri-ui-extras-lang-0.6.3-r0.apk2024-02-07 01:49 55K
[   ]backup-manager-0.7.15-r1.apk2022-10-28 17:20 55K
[   ]lua5.3-lanes-3.16.0-r1.apk2024-04-04 13:35 55K
[   ]py3-catkin-pkg-0.5.2-r3.apk2024-04-15 23:03 55K
[   ]s-dkim-sign-0.6.2-r0.apk2024-06-02 08:42 55K
[   ]py3-zfs-autobackup-3.2.2-r1.apk2024-04-15 23:03 56K
[   ]sblim-sfcc-2.2.8-r2.apk2023-05-15 18:46 56K
[   ]xfce4-mailwatch-plugin-1.3.1-r1.apk2023-10-31 12:12 56K
[   ]bananui-2.0.0-r0.apk2023-10-06 07:49 56K
[   ]libvdpau-va-gl-0.4.2-r0.apk2020-07-04 08:02 56K
[   ]py3-sphinx-autoapi-pyc-3.1.1-r0.apk2024-05-27 16:53 56K
[   ]py3-qbittorrent-api-2024.5.62-r0.apk2024-05-30 18:16 56K
[   ]pam_mount-2.20-r0.apk2023-12-23 13:59 56K
[   ]py3-pyspinel-1.0.3-r1.apk2024-04-15 23:03 56K
[   ]ocaml-iso8601-0.2.6-r0.apk2024-04-22 08:13 56K
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-04-15 23:03 56K
[   ]pympress-lang-1.8.5-r1.apk2024-04-15 23:03 56K
[   ]ocamlnet-tcl-4.1.9-r2.apk2024-03-23 21:50 56K
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-04-11 02:39 56K
[   ]py3-scour-0.38.2-r1.apk2024-04-15 23:03 56K
[   ]php81-pecl-apcu-5.1.23-r2.apk2024-06-04 02:37 56K
[   ]git-extras-7.2.0-r0.apk2024-05-13 09:58 57K
[   ]xfce4-panel-profiles-1.0.14-r1.apk2023-12-11 09:36 57K
[   ]ocaml-ptmap-2.0.5-r3.apk2024-03-23 21:49 57K
[   ]py3-mapbox-earcut-1.0.1-r0.apk2022-12-03 07:51 57K
[   ]synadm-0.46-r1.apk2024-04-15 23:03 57K
[   ]mat2-pyc-0.13.4-r1.apk2023-10-19 18:09 57K
[   ]coxeter-dev-3.0-r1.apk2023-08-01 17:19 57K
[   ]gtksourceviewmm3-dev-3.21.3-r2.apk2023-04-14 16:16 57K
[   ]php81-pecl-zephir_parser-1.6.1-r0.apk2024-06-04 01:08 57K
[   ]php82-pecl-zephir_parser-1.6.1-r0.apk2024-06-04 01:08 57K
[   ]py3-unicorn-pyc-2.0.1-r4.apk2024-04-19 17:15 57K
[   ]bordeaux-coventry-0.8.1-r0.apk2024-02-26 22:07 57K
[   ]liquibase-doc-4.9.1-r0.apk2022-04-11 13:47 57K
[   ]ocaml-uutf-dev-1.0.3-r2.apk2024-03-23 21:50 57K
[   ]compton-conf-0.16.0-r1.apk2022-07-26 07:59 57K
[   ]mesa-asahi-glapi-24.0.0_pre20240527-r0.apk2024-05-29 00:31 57K
[   ]lcalc-dev-2.0.5-r1.apk2023-03-16 02:57 57K
[   ]boxes-2.2.1-r0.apk2023-09-06 15:12 57K
[   ]kabmat-2.7.0-r0.apk2023-05-07 08:38 57K
[   ]py3-mpd2-pyc-3.1.1-r1.apk2024-04-15 23:03 57K
[   ]perl-libapreq2-dev-2.17-r1.apk2023-07-04 00:52 57K
[   ]libnfc-1.8.0-r1.apk2023-05-15 18:46 58K
[   ]serialdv-libs-1.1.4-r0.apk2023-02-22 13:07 58K
[   ]perl-net-xmpp-1.05-r0.apk2024-01-12 14:36 58K
[   ]openwsman-dev-2.7.2-r4.apk2024-04-15 23:03 58K
[   ]kfc-0.1.4-r0.apk2023-06-17 00:19 58K
[   ]vcstool-pyc-0.3.0-r5.apk2024-04-15 23:03 58K
[   ]mint-y-theme-metacity-2.1.1-r0.apk2023-06-17 00:20 58K
[   ]apt-dater-1.0.4-r3.apk2023-04-30 23:30 58K
[   ]libfishsound-dev-1.0.0-r1.apk2020-08-19 23:59 58K
[   ]font-tiresias-doc-0_git20200704-r0.apk2023-01-02 23:42 58K
[   ]bitlbee-facebook-1.2.2-r0.apk2022-10-02 01:52 58K
[   ]ustr-1.0.4-r1.apk2023-05-15 18:46 58K
[   ]libm17n-core-1.8.4-r1.apk2023-09-19 23:47 58K
[   ]sbase-doc-0_git20210730-r2.apk2022-10-28 17:21 58K
[   ]tinyscheme-1.42-r1.apk2022-10-14 17:08 58K
[   ]freedoom-doc-0.12.1-r2.apk2023-10-31 12:12 58K
[   ]libantlr3c-dev-3.4-r3.apk2023-05-15 18:46 58K
[   ]py3-empy-pyc-3.3.4-r6.apk2024-04-15 23:03 58K
[   ]wiringx-0_git20240317-r1.apk2024-03-24 15:50 58K
[   ]perl-glib-object-introspection-0.051-r0.apk2023-08-29 13:36 58K
[   ]sloccount-2.26-r3.apk2022-01-18 21:37 59K
[   ]anari-sdk-dev-0.7.2-r0.apk2023-11-06 18:35 59K
[   ]mrsh-libs-0_git20210518-r1.apk2022-10-28 17:21 59K
[   ]xfce4-mixer-lang-4.18.1-r2.apk2023-10-31 12:12 59K
[   ]py3-astral-pyc-3.2-r3.apk2024-04-15 23:03 59K
[   ]yodl-doc-4.02.00-r1.apk2022-10-28 17:21 59K
[   ]plplot-dev-5.15.0-r2.apk2022-10-28 17:21 59K
[   ]ttfautohint-gui-1.8.4-r0.apk2024-05-06 12:51 59K
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-04-15 23:03 59K
[   ]hexer-1.4.0-r15.apk2024-05-19 01:28 59K
[   ]libiscsi-1.19.0-r2.apk2023-05-15 18:46 59K
[   ]libui-4.1_alpha20211213-r0.apk2021-12-13 21:18 59K
[   ]racksdb-0.4.0-r0.apk2024-05-23 11:38 59K
[   ]libxml++-5.0.3-r1.apk2023-04-30 23:30 59K
[   ]perl-json-validator-5.14-r0.apk2024-01-14 13:52 59K
[   ]xa-2.3.14-r0.apk2023-03-18 22:44 59K
[   ]sloccount-doc-2.26-r3.apk2022-01-18 21:37 59K
[   ]nvim-lualine-0.0.0_git20221006-r0.apk2022-10-13 22:58 59K
[   ]fdm-materials-5.2.2-r0.apk2023-01-05 06:19 60K
[   ]ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk2024-03-23 21:49 60K
[   ]hare-cairo-0_git20231112-r1.apk2023-12-12 22:14 60K
[   ]py3-colander-2.0-r1.apk2024-04-15 23:03 60K
[   ]libsirocco-2.1.0-r2.apk2023-08-01 17:19 60K
[   ]fabric-pyc-3.2.2-r1.apk2024-04-15 23:03 60K
[   ]openscap-daemon-0.1.10-r9.apk2024-04-15 23:03 60K
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-04-15 23:03 60K
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2023-05-15 18:45 60K
[   ]shntool-3.0.10-r4.apk2022-10-08 15:37 60K
[   ]rtl-power-fftw-20200601-r4.apk2024-04-24 22:49 60K
[   ]mnamer-pyc-2.5.5-r1.apk2024-04-15 23:03 60K
[   ]mpdcron-dev-0.3-r1.apk2022-10-28 17:21 61K
[   ]wlroots0.12-dev-0.12.0-r1.apk2022-09-02 20:54 61K
[   ]py3-nikola-doc-8.3.0-r2.apk2024-04-15 23:03 61K
[   ]flightgear-doc-2020.3.19-r1.apk2024-04-22 19:58 61K
[   ]zydis-dev-4.1.0-r0.apk2024-04-06 00:35 61K
[   ]py3-unicrypto-0.0.10-r2.apk2024-04-15 23:03 61K
[   ]py3-zope-schema-pyc-7.0.1-r2.apk2024-04-15 23:03 61K
[   ]libabigail-doc-2.3-r0.apk2023-05-03 12:33 61K
[   ]perl-net-curl-0.56-r0.apk2024-04-03 16:16 61K
[   ]php81-pecl-ds-1.5.0-r0.apk2024-04-11 02:39 61K
[   ]nvtop-3.1.0-r0.apk2024-02-24 13:59 61K
[   ]gingerbase-pyc-2.3.0-r7.apk2024-04-15 23:03 61K
[   ]mimalloc1-insecure-1.8.6-r0.apk2024-05-20 02:03 62K
[   ]dcnnt-pyc-0.10.0-r1.apk2024-04-15 23:03 62K
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-04-15 23:03 62K
[   ]gf2x-dev-1.3.0-r0.apk2021-02-18 13:35 62K
[   ]guish-doc-2.6.10-r0.apk2023-05-27 17:24 62K
[   ]php81-dom-8.1.29-r0.apk2024-06-06 22:04 62K
[   ]font-tamzen-1.11.5-r1.apk2022-10-08 17:26 62K
[   ]curtail-lang-1.9.1-r0.apk2024-04-15 23:03 62K
[   ]notification-daemon-3.20.0-r0.apk2024-05-19 15:12 62K
[   ]sthttpd-2.27.1-r2.apk2022-10-28 17:21 62K
[   ]py3-tidalapi-pyc-0.7.4-r1.apk2024-04-15 23:03 62K
[   ]py3-markdown2-pyc-2.4.13-r1.apk2024-04-15 23:03 62K
[   ]qspectrumanalyzer-pyc-2.2.0-r4.apk2024-04-15 23:03 62K
[   ]py3-ncclient-0.6.13-r4.apk2024-04-15 23:03 62K
[   ]htmlcxx-0.87-r1.apk2022-10-14 17:08 63K
[   ]libofx-0.10.9-r1.apk2023-08-26 13:15 63K
[   ]ocaml-easy-format-1.3.4-r1.apk2024-03-23 21:49 63K
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-04-15 23:03 63K
[   ]rapidfuzz-3.0.0-r0.apk2024-01-03 17:14 63K
[   ]perl-sql-abstract-2.000001-r2.apk2023-07-04 00:52 63K
[   ]cvise-pyc-2.8.0-r2.apk2023-07-30 00:00 63K
[   ]py3-minidump-0.0.23-r1.apk2024-04-15 23:03 63K
[   ]moosefs-cgi-3.0.117-r1.apk2023-06-17 23:06 63K
[   ]kodi-game-libretro-desmume-0.0.1.28-r0.apk2023-07-03 00:03 64K
[   ]kismet-linux-wifi-0.202307.1-r2.apk2023-11-15 17:49 64K
[   ]lomiri-terminal-app-lang-2.0.2-r0.apk2024-02-07 13:43 64K
[   ]git-extras-doc-7.2.0-r0.apk2024-05-13 09:58 64K
[   ]pqiv-2.12-r1.apk2022-10-28 17:21 64K
[   ]kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk2023-07-03 00:03 64K
[   ]py3-caldav-1.3.9-r1.apk2024-04-15 23:03 64K
[   ]ffms2-2.40-r0.apk2023-12-30 14:46 64K
[   ]xfce4-calculator-plugin-0.7.2-r0.apk2023-04-19 19:45 64K
[   ]fcitx5-qt-5.1.6-r0.apk2024-05-10 05:34 64K
[   ]pebble-le-0.3.0-r1.apk2024-04-22 19:58 64K
[   ]rosdep-0.19.0-r5.apk2024-04-15 23:03 64K
[   ]masky-pyc-0.2.0-r1.apk2024-04-15 23:03 64K
[   ]ueberzug-pyc-18.2.3-r0.apk2024-05-24 19:43 64K
[   ]materia-compact-gtk3-20210322-r1.apk2022-10-28 22:30 65K
[   ]ueberzug-18.2.3-r0.apk2024-05-24 19:43 65K
[   ]lua5.2-penlight-doc-1.3.0-r1.apk2022-07-26 07:59 65K
[   ]materia-gtk3-20210322-r1.apk2022-10-28 22:30 65K
[   ]charls-2.4.2-r0.apk2023-11-06 18:35 65K
[   ]curlpp-dev-0.8.1-r1.apk2022-10-28 17:20 65K
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-04-15 23:03 65K
[   ]megatools-1.11.1.20230212-r1.apk2023-03-20 18:04 65K
[   ]moosefs-doc-3.0.117-r1.apk2023-06-17 23:06 65K
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-04-15 09:15 65K
[   ]py3-litex-hub-pythondata-cpu-serv-2023.12-r4.apk2024-04-15 23:03 65K
[   ]libqb-2.0.8-r0.apk2023-08-03 18:08 65K
[   ]lomiri-terminal-app-2.0.2-r0.apk2024-02-07 13:43 65K
[   ]wlvncc-0.0.0_git20230105-r0.apk2023-02-28 17:48 65K
[   ]fcitx5-m17n-5.1.1-r0.apk2024-05-10 05:34 65K
[   ]bliss-0.77-r1.apk2023-08-01 17:19 65K
[   ]hyprwayland-scanner-0.3.8-r0.apk2024-05-21 23:52 66K
[   ]jbigkit-2.1-r2.apk2022-10-28 17:20 66K
[   ]perl-regexp-grammars-1.058-r0.apk2024-01-25 18:00 66K
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2023-07-04 00:52 66K
[   ]clipit-1.4.5-r2.apk2023-04-16 20:48 66K
[   ]glfw-wayland-3.3.8-r3.apk2023-07-04 02:01 66K
[   ]polyglot-2.0.4-r1.apk2023-08-01 17:19 66K
[   ]swaks-20240103.0-r0.apk2024-01-08 10:43 66K
[   ]perl-snmp-5.0404-r12.apk2023-07-04 00:52 66K
[   ]heisenbridge-1.14.6-r0.apk2024-06-02 19:39 67K
[   ]libtommath-dev-1.2.1-r0.apk2023-10-06 18:20 67K
[   ]synadm-pyc-0.46-r1.apk2024-04-15 23:03 67K
[   ]libiml-1.0.5-r3.apk2023-08-01 17:19 67K
[   ]mimalloc1-1.8.6-r0.apk2024-05-20 02:03 67K
[   ]ocaml-tsdl-ttf-0.6-r0.apk2024-04-22 08:13 67K
[   ]horizon-image-0.9.6-r9.apk2024-04-22 19:58 67K
[   ]aravis-viewer-0.8.31-r0.apk2024-03-16 18:38 67K
[   ]py3-diskcache-pyc-5.6.3-r1.apk2024-04-15 23:03 67K
[   ]twemproxy-0.5.0-r0.apk2022-04-30 10:20 67K
[   ]muon-doc-0.2.0-r2.apk2024-03-27 22:18 68K
[   ]php81-doc-8.1.29-r0.apk2024-06-06 22:04 68K
[   ]ocaml-sha-1.15.4-r0.apk2024-03-23 21:50 68K
[   ]py3-bookkeeper-pyc-4.16.2-r1.apk2024-04-15 23:03 68K
[   ]libexmdbpp-1.11-r1.apk2024-05-17 03:44 68K
[   ]lrzsz-0.12.20-r2.apk2022-10-28 17:21 68K
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-04-15 23:03 68K
[   ]perl-io-lambda-doc-1.33-r0.apk2024-04-16 13:30 68K
[   ]policycoreutils-3.6-r0.apk2024-01-08 10:43 68K
[   ]ding-libs-dev-0.6.2-r4.apk2023-11-12 13:20 68K
[   ]arc-cinnamon-20221218-r0.apk2023-01-07 14:34 68K
[   ]py3-pystache-0.6.5-r1.apk2024-04-15 23:03 68K
[   ]idesk-1-r1.apk2022-10-14 17:08 68K
[   ]xsoldier-1.8-r1.apk2022-10-28 17:21 68K
[   ]trafficserver9-plugin-headerrewrite-9.2.4-r0.apk2024-04-05 15:43 68K
[   ]hping3-20051105-r4.apk2017-07-13 16:26 68K
[   ]py3-minio-7.2.0-r1.apk2024-04-15 23:03 68K
[   ]arc-dark-cinnamon-20221218-r0.apk2023-01-07 14:34 68K
[   ]howard-bc-6.7.5-r0.apk2024-01-08 10:42 68K
[   ]piper-phonemize-libs-2023.11.14.4-r2.apk2024-06-06 17:29 68K
[   ]py3-hishel-pyc-0.0.27-r0.apk2024-06-03 22:49 69K
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-03-23 20:25 69K
[   ]php81-spx-0.4.15-r0.apk2024-04-11 02:39 69K
[   ]nzbget-doc-21.1-r2.apk2023-04-30 23:30 69K
[   ]nymphcast-mediaserver-0.1-r2.apk2023-01-08 07:08 69K
[   ]planarity-libs-3.0.2.0-r2.apk2023-08-01 17:19 69K
[   ]log4cpp-1.1.4-r1.apk2023-05-15 18:46 69K
[   ]php81-openssl-8.1.29-r0.apk2024-06-06 22:04 69K
[   ]py3-bandwidth-sdk-pyc-3.1.0-r7.apk2024-04-15 23:03 69K
[   ]libqtdbusmock-0.9.1-r0.apk2024-01-28 17:22 69K
[   ]debconf-1.5.82-r0.apk2023-03-16 15:38 69K
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-04-15 23:03 69K
[   ]libneo4j-client-2.2.0-r3.apk2022-08-21 03:34 70K
[   ]py3-zimscraperlib-pyc-3.2.0-r0.apk2024-01-21 16:57 70K
[   ]py3-zfs-autobackup-pyc-3.2.2-r1.apk2024-04-15 23:03 70K
[   ]mpop-1.4.18-r0.apk2023-01-31 23:00 70K
[   ]py3-syrupy-pyc-4.6.1-r1.apk2024-04-15 23:03 70K
[   ]ocfs2-tools-doc-1.8.7-r2.apk2023-05-15 18:46 70K
[   ]py3-irc-pyc-20.4.0-r0.apk2024-06-02 19:39 70K
[   ]ginac-dev-1.8.7-r1.apk2024-01-28 17:22 70K
[   ]py3-hg-git-1.1.1-r1.apk2024-04-15 23:03 70K
[   ]py3-blockdiag-3.0.0-r4.apk2024-04-15 23:03 70K
[   ]tree-sitter-caddy-0_git20230322-r0.apk2023-12-16 03:06 70K
[   ]trafficserver9-plugin-combohandler-9.2.4-r0.apk2024-04-05 15:43 70K
[   ]wlroots0.15-dev-0.15.1-r6.apk2023-07-03 18:36 70K
[   ]wget2-doc-2.1.0-r0.apk2023-09-04 12:04 70K
[   ]xdg-ninja-0.2.0.2-r0.apk2024-02-05 07:11 70K
[   ]qml-asteroid-2.0.0-r0.apk2023-08-31 11:41 71K
[   ]ocaml-jsonm-dev-1.0.2-r0.apk2024-04-22 08:13 71K
[   ]libiml-static-1.0.5-r3.apk2023-08-01 17:19 71K
[   ]perl-cairo-1.109-r3.apk2023-07-04 00:52 71K
[   ]normaliz-dev-3.10.2-r1.apk2024-04-22 19:58 71K
[   ]getmail6-6.19.00-r0.apk2024-06-01 16:14 71K
[   ]hfst-doc-3.16.0-r2.apk2024-04-15 23:03 71K
[   ]libnest2d-dev-0.4-r6.apk2024-04-22 19:58 71K
[   ]py3-ovos-plugin-manager-0.0.25-r0.apk2024-05-31 08:16 71K
[   ]opentelemetry-cpp-exporter-otlp-http-1.11.0-r3.apk2024-05-25 07:22 72K
[   ]dnsperf-2.14.0-r0.apk2024-01-19 10:40 72K
[   ]tmate-doc-2.4.0-r4.apk2023-03-05 03:21 72K
[   ]xfce4-docklike-plugin-0.4.2-r0.apk2023-12-27 00:21 72K
[   ]deutex-5.2.2-r1.apk2022-04-10 23:07 72K
[   ]sydbox-doc-3.18.4-r0.apk2024-05-08 19:55 72K
[   ]ocaml-mew-0.1.0-r3.apk2024-03-23 21:49 72K
[   ]py3-limits-pyc-3.12.0-r0.apk2024-05-13 09:58 72K
[   ]opendht-dev-3.1.7-r2.apk2024-04-15 23:03 72K
[   ]drawpile-doc-2.2.1-r1.apk2024-05-29 19:41 72K
[   ]py3-openapi-core-0.19.1-r0.apk2024-05-27 04:18 72K
[   ]fastd-22-r3.apk2023-10-22 06:18 72K
[   ]py3-latex2mathml-3.77.0-r1.apk2024-04-15 23:03 72K
[   ]fuzzylite-dev-6.0-r0.apk2023-04-17 14:06 72K
[   ]py3-django-mptt-pyc-0.16-r2.apk2024-04-15 23:03 72K
[   ]apache2-mod-perl-dbg-2.0.13-r0.apk2023-10-22 14:57 72K
[   ]hypnotix-lang-3.5-r0.apk2023-07-18 02:30 72K
[   ]mxclient-0_git20211002-r1.apk2022-10-28 17:21 73K
[   ]py3-ovos-bus-client-pyc-0.0.8-r0.apk2024-05-31 08:16 73K
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-04-15 23:03 73K
[   ]nmon-16q-r0.apk2024-04-30 05:47 73K
[   ]ustr-debug-1.0.4-r1.apk2023-05-15 18:46 73K
[   ]libeantic-2.0.2-r1.apk2024-04-22 19:58 73K
[   ]py3-jsonschema417-4.17.3-r1.apk2024-04-15 23:03 73K
[   ]screenkey-pyc-1.5-r6.apk2024-04-15 23:03 73K
[   ]topgit-doc-0.19.13-r1.apk2022-10-28 17:21 73K
[   ]php81-ffi-8.1.29-r0.apk2024-06-06 22:04 73K
[   ]libiscsi-static-1.19.0-r2.apk2023-05-15 18:46 74K
[   ]viewnior-1.8-r1.apk2023-06-17 00:22 74K
[   ]py3-scour-pyc-0.38.2-r1.apk2024-04-15 23:03 74K
[   ]libwbxml-0.11.8-r0.apk2022-03-19 10:15 74K
[   ]kodi-game-libretro-dosbox-0.74.0.25-r0.apk2023-07-03 00:03 74K
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-04-15 23:03 74K
[   ]linuxptp-ptp4l-4.2-r0.apk2023-12-20 19:54 74K
[   ]trafficserver9-plugin-esi-9.2.4-r0.apk2024-04-05 15:43 74K
[   ]thefuck-3.32-r3.apk2024-04-15 23:03 74K
[   ]vcdimager-doc-2.0.1-r3.apk2023-04-30 23:31 75K
[   ]zsh-fast-syntax-highlighting-1.55_git20230705-r0.apk2023-12-17 13:10 75K
[   ]ocaml-eqaf-0.8-r2.apk2024-03-23 21:49 75K
[   ]tpm2-pkcs11-pyc-1.9.0-r1.apk2023-04-22 18:11 75K
[   ]fcitx5-rime-5.1.6-r0.apk2024-05-10 05:34 75K
[   ]ocaml-gmap-dev-0.3.0-r2.apk2024-03-23 21:49 75K
[   ]proot-5.4.0-r0.apk2023-06-17 00:21 75K
[   ]ledmon-0.97-r1.apk2023-12-18 11:40 75K
[   ]libfishsound-doc-1.0.0-r1.apk2020-08-19 23:59 75K
[   ]endeavour-doc-43.0-r1.apk2024-03-23 20:25 75K
[   ]xsecurelock-1.9.0-r1.apk2024-04-12 01:37 75K
[   ]purple-facebook-0.9.6-r0.apk2020-07-23 14:28 75K
[   ]perl-io-lambda-1.33-r0.apk2024-04-16 13:30 76K
[   ]py3-doit-0.36.0-r4.apk2024-04-15 23:03 76K
[   ]libgivaro-4.2.0-r2.apk2023-08-01 17:19 76K
[   ]py3-aesedb-pyc-0.1.6-r2.apk2024-04-15 23:03 76K
[   ]musikcube-plugin-httpdatastream-3.0.2-r1.apk2023-12-13 21:24 76K
[   ]nb-doc-7.12.1-r0.apk2024-02-24 00:35 76K
[   ]way-displays-1.8.1-r2.apk2023-09-11 11:21 76K
[   ]ocaml-ptime-dev-1.0.0-r2.apk2024-03-23 21:49 76K
[   ]perl-net-amqp-rabbitmq-2.40010-r2.apk2023-07-04 00:52 76K
[   ]cpplint-1.6.1_git20240320-r1.apk2024-04-15 23:03 76K
[   ]py3-osqp-pyc-0.6.2-r5.apk2024-04-15 23:03 77K
[   ]p0f-3.09b-r2.apk2022-10-28 17:21 77K
[   ]libgedit-tepl-dev-6.10.0-r1.apk2024-05-29 20:03 77K
[   ]gamemode-0_git20240327-r0.apk2024-06-04 13:51 77K
[   ]screenkey-1.5-r6.apk2024-04-15 23:03 77K
[   ]py3-confluent-kafka-pyc-1.8.2-r4.apk2024-04-15 23:03 77K
[   ]aide-0.18.8-r0.apk2024-05-10 17:20 77K
[   ]monetdb-dev-11.33.11-r4.apk2023-04-30 23:30 77K
[   ]ocaml-domain-name-0.4.0-r2.apk2024-03-23 21:49 77K
[   ]ckb-next-daemon-0.6.0-r1.apk2023-07-19 21:10 77K
[   ]csfml-dev-2.5.2-r0.apk2023-07-03 00:01 77K
[   ]ding-libs-0.6.2-r4.apk2023-11-12 13:20 77K
[   ]py3-youtube-search-1.6.6-r3.apk2024-04-17 04:54 77K
[   ]razercfg-0.42-r6.apk2024-04-15 23:03 77K
[   ]hidrd-0.2.0_git20190603-r1.apk2022-10-28 17:20 77K
[   ]py3-dogpile.cache-pyc-1.2.2-r1.apk2024-04-15 23:03 77K
[   ]gammastep-lang-2.0.9-r3.apk2024-04-15 23:03 78K
[   ]py3-pyparted-3.13.0-r1.apk2024-04-15 23:03 78K
[   ]btpd-0.16-r2.apk2022-08-04 10:46 78K
[   ]cln-doc-1.3.7-r0.apk2024-01-28 17:21 78K
[   ]py3-nwdiag-pyc-3.0.0-r2.apk2024-04-15 23:03 78K
[   ]pypy-dev-7.3.12-r0.apk2023-06-17 00:21 78K
[   ]perl-pango-1.227-r10.apk2023-07-04 00:52 78K
[   ]yaru-theme-hdpi-23.10.0-r0.apk2024-04-18 04:06 78K
[   ]py3-pysimplesoap-pyc-1.16.2-r6.apk2024-04-15 23:03 78K
[   ]ocaml-tsdl-image-dev-0.6-r0.apk2024-04-22 08:13 78K
[   ]ocaml-stringext-dev-1.6.0-r2.apk2024-03-23 21:50 78K
[   ]py3-dt-schema-2024.04-r0.apk2024-04-19 04:47 78K
[   ]lua5.1-luastatic-0.0.12-r1.apk2022-10-28 17:21 79K
[   ]ccze-0.2.1-r1.apk2022-09-07 22:39 79K
[   ]surfraw-2.3.0-r0.apk2023-08-01 22:41 79K
[   ]psftools-dev-1.1.1-r0.apk2022-01-11 21:17 79K
[   ]ytmdl-pyc-2024.04.14-r0.apk2024-05-13 09:58 79K
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-04-15 23:03 79K
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-03-23 20:25 79K
[   ]flowd-0.9.1-r9.apk2023-07-04 00:52 79K
[   ]py3-asyauth-0.0.20-r1.apk2024-04-15 23:03 79K
[   ]fplll-dev-5.4.5-r0.apk2023-10-22 10:04 79K
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-04-15 23:03 80K
[   ]py3-pyinstrument-4.6.2-r1.apk2024-04-15 23:03 80K
[   ]libdbusmenu-lxqt-0.1.0-r0.apk2024-05-23 04:03 80K
[   ]ocaml-lru-0.3.0-r2.apk2024-03-23 21:49 80K
[   ]asteroid-btsyncd-2.0.0-r0.apk2023-09-01 08:31 80K
[   ]py3-caldav-pyc-1.3.9-r1.apk2024-04-15 23:03 80K
[   ]php81-mysqlnd-8.1.29-r0.apk2024-06-06 22:04 80K
[   ]gearman-libs-1.1.21-r1.apk2024-04-22 19:58 80K
[   ]hy-0.29.0-r0.apk2024-05-31 01:04 80K
[   ]irccd-doc-4.0.3-r0.apk2023-07-30 00:01 80K
[   ]py3-django-debug-toolbar-pyc-4.4.2-r0.apk2024-05-29 23:29 80K
[   ]opkg-libs-0.6.2-r0.apk2023-11-27 22:03 80K
[   ]firewalld-doc-2.1.2-r0.apk2024-04-15 09:15 80K
[   ]vit-2.3.2-r1.apk2024-04-15 23:03 80K
[   ]lxappearance-lang-0.6.3-r3.apk2023-05-29 06:27 80K
[   ]py3-publicsuffix2-2.20191221-r5.apk2024-04-15 16:26 81K
[   ]py3-tg-pyc-0.19.0-r4.apk2024-04-15 23:03 81K
[   ]freediameter-libfdproto-1.5.0-r1.apk2022-11-06 11:59 81K
[   ]py3-ovos-workshop-0.0.15-r0.apk2024-05-31 08:16 81K
[   ]openslide-3.4.1-r3.apk2023-08-01 17:19 81K
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2023-07-04 00:52 81K
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-04-15 23:03 81K
[   ]reprotest-0.7.27-r0.apk2024-04-18 01:52 81K
[   ]trafficserver9-plugin-base-9.2.4-r0.apk2024-04-05 15:43 81K
[   ]lomiri-action-api-1.1.3-r0.apk2024-02-07 01:49 81K
[   ]perl-pango-doc-1.227-r10.apk2023-07-04 00:52 81K
[   ]py3-unearth-pyc-0.15.3-r0.apk2024-05-29 00:38 81K
[   ]libmustache-0.5.0-r1.apk2022-10-28 17:20 81K
[   ]idevicerestore-1.0.0-r3.apk2023-05-27 13:04 82K
[   ]horizon-tools-0.9.6-r9.apk2024-04-22 19:58 82K
[   ]zapret-0.0.0_git20220125-r0.apk2022-02-02 12:47 82K
[   ]py3-django-mptt-0.16-r2.apk2024-04-15 23:03 82K
[   ]getssl-2.48-r0.apk2024-02-17 05:37 82K
[   ]mailutils-servers-3.17-r0.apk2024-01-19 19:21 82K
[   ]py3-fastavro-pyc-1.9.4-r2.apk2024-05-08 23:15 82K
[   ]libstirshaken-dev-0_git20240208-r2.apk2024-02-08 10:25 82K
[   ]py3-plexapi-doc-4.15.13-r0.apk2024-05-20 09:26 83K
[   ]libucl-dev-0.9.0-r0.apk2024-02-02 22:16 83K
[   ]py3-marshmallow-pyc-3.21.2-r0.apk2024-05-27 19:54 83K
[   ]silc-client-doc-1.1.11-r16.apk2023-10-18 18:22 83K
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-04-15 16:59 83K
[   ]ocaml-compiler-libs-repackaged-0.12.4-r3.apk2024-03-23 21:49 83K
[   ]py3-fastapi-0.111.0-r0.apk2024-05-24 15:06 83K
[   ]youtube-viewer-3.11.1-r0.apk2024-03-14 18:22 83K
[   ]pegtl-3.2.7-r0.apk2023-09-21 23:48 84K
[   ]video-trimmer-lang-0.8.2-r0.apk2023-10-09 16:53 84K
[   ]libmygpo-qt-1.1.0-r2.apk2024-05-08 15:01 84K
[   ]tree-sitter-ssh-client-config-2024.6.6-r0.apk2024-06-06 17:06 84K
[   ]py3-winacl-0.1.9-r0.apk2024-05-13 09:58 84K
[   ]perl-net-idn-encode-2.500-r0.apk2024-01-14 13:52 84K
[   ]ocaml-ethernet-dev-3.0.0-r3.apk2024-03-23 21:49 84K
[   ]py3-gls-pyc-1.3.1-r1.apk2024-04-15 23:03 84K
[   ]wiringx-dev-0_git20240317-r1.apk2024-03-24 15:50 84K
[   ]pimd-3.0_git20220201-r0.apk2022-03-06 11:30 84K
[   ]ccrtp-2.1.2-r0.apk2022-06-04 23:00 85K
[   ]firehol-3.1.7-r2.apk2023-05-13 22:21 85K
[   ]py3-transitions-0.9.0-r3.apk2024-04-15 23:03 85K
[   ]py3-pyinstrument-pyc-4.6.2-r1.apk2024-04-15 23:03 85K
[   ]viewnior-lang-1.8-r1.apk2023-06-17 00:22 85K
[   ]crispy-doom-doc-6.0-r0.apk2023-04-01 00:55 85K
[   ]dbus-broker-35-r0.apk2024-01-04 20:38 85K
[   ]primesieve-libs-12.3-r0.apk2024-05-01 19:11 85K
[   ]ctorrent-dnh-3.3.2-r2.apk2022-10-28 17:20 86K
[   ]py3-qdldl-0.1.5-r3.apk2024-04-15 23:03 86K
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-04-15 23:03 86K
[   ]py3-arcus-5.3.0-r0.apk2024-04-22 06:42 86K
[   ]fcitx5-kkc-5.1.3-r0.apk2024-05-10 05:34 86K
[   ]aptdec-1.8.0-r0.apk2023-02-22 13:07 86K
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-05-31 08:16 86K
[   ]katarakt-0.2-r0.apk2022-02-02 04:37 86K
[   ]arc-dark-gtk4-20221218-r0.apk2023-01-07 14:34 86K
[   ]libretro-pocketcdg-0_git20220327-r0.apk2022-04-21 12:02 86K
[   ]vim-airline-0.11-r0.apk2021-05-04 12:16 86K
[   ]py3-asysocks-0.2.12-r1.apk2024-04-15 23:03 87K
[   ]gpsbabel-lang-1.8.0-r5.apk2023-12-31 17:23 87K
[   ]createrepo_c-libs-1.0.2-r1.apk2024-04-15 23:03 87K
[   ]gtkhash-1.5-r0.apk2022-10-01 23:16 87K
[   ]lua-lut-1.2.1-r0.apk2019-06-26 00:27 87K
[   ]xfce4-mixer-4.18.1-r2.apk2023-10-31 12:12 88K
[   ]onnxruntime-dev-1.18.0-r0.apk2024-06-06 17:29 88K
[   ]duc-1.4.5-r0.apk2023-07-03 00:01 88K
[   ]lfm-3.1-r4.apk2024-04-15 23:03 88K
[   ]ocaml-iso8601-dev-0.2.6-r0.apk2024-04-22 08:13 88K
[   ]bananui-dev-2.0.0-r0.apk2023-10-06 07:49 88K
[   ]asteroid-settings-2.0.0-r0.apk2023-08-31 11:41 88K
[   ]racksdb-pyc-0.4.0-r0.apk2024-05-23 11:38 89K
[   ]lua5.1-libguestfs-1.52.0-r1.apk2024-04-15 23:03 89K
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2023-08-19 08:39 89K
[   ]ocaml-logs-dev-0.7.0-r3.apk2024-03-23 21:49 89K
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-04-15 23:03 89K
[   ]kodi-inputstream-rtmp-20.3.0-r1.apk2023-07-03 00:03 89K
[   ]perl-anyevent-xmpp-0.55-r0.apk2024-01-06 23:15 89K
[   ]ocaml-happy-eyeballs-0.3.0-r2.apk2024-03-23 21:49 89K
[   ]gammastep-2.0.9-r3.apk2024-04-15 23:03 90K
[   ]py3-ovos-backend-client-pyc-0.1.0-r0.apk2024-05-31 08:16 90K
[   ]znc-push-0_git20220823-r7.apk2023-11-12 13:21 90K
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-05-04 15:42 90K
[   ]tcmu-runner-1.6.0-r5.apk2023-09-01 09:39 90K
[   ]perl-soap-lite-doc-1.27-r5.apk2023-07-04 00:52 90K
[   ]py3-moviepy-1.0.3-r4.apk2023-05-24 23:47 90K
[   ]py3-pytube-pyc-15.0.0-r1.apk2024-04-15 23:03 91K
[   ]soundfont-vintage-dreams-waves-2.1-r1.apk2022-04-17 08:28 91K
[   ]pigpio-dev-79-r4.apk2024-05-08 15:01 91K
[   ]libiscsi-utils-1.19.0-r2.apk2023-05-15 18:46 91K
[   ]libnfc-tools-1.8.0-r1.apk2023-05-15 18:46 91K
[   ]py3-confluent-kafka-1.8.2-r4.apk2024-04-15 23:03 91K
[   ]anarch-1.0-r1.apk2022-08-20 16:26 91K
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-04-15 23:03 91K
[   ]emacs-elfeed-3.4.1_git20240326-r0.apk2024-04-02 11:39 91K
[   ]py3-cdio-2.1.1-r4.apk2024-04-15 23:03 91K
[   ]simavr-1.7-r1.apk2022-10-28 17:21 91K
[   ]libctl-4.5.1-r1.apk2023-05-15 18:46 91K
[   ]ustr-dev-1.0.4-r1.apk2023-05-15 18:46 91K
[   ]py3-mistletoe-pyc-1.2.1-r1.apk2024-04-15 23:03 92K
[   ]ocaml-merlin-extend-dev-0.6.1-r2.apk2024-03-23 21:49 92K
[   ]py3-pyatem-pyc-0.5.0-r3.apk2024-04-15 23:03 92K
[   ]jdebp-redo-1.4-r1.apk2022-06-12 00:08 92K
[   ]lumina-desktop-sudo-1.6.2-r0.apk2022-07-05 21:10 92K
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-02-13 00:10 92K
[   ]ocaml-bigstringaf-dev-0.9.0-r2.apk2024-03-23 21:49 92K
[   ]linuxwave-0.1.5-r0.apk2023-07-22 00:02 92K
[   ]tree-sitter-dart-0_git20230123-r1.apk2023-11-15 22:53 92K
[   ]xandikos-0.2.11-r1.apk2024-04-15 23:03 92K
[   ]mimedefang-doc-3.4.1-r0.apk2023-05-01 18:37 93K
[   ]libigraph-dev-0.10.12-r0.apk2024-05-13 09:58 93K
[   ]sqliteodbc-0.99991-r0.apk2023-12-23 13:59 93K
[   ]ocaml-arp-3.0.0-r3.apk2024-03-23 21:49 93K
[   ]trafficserver9-dev-9.2.4-r0.apk2024-04-05 15:43 93K
[   ]py3-pigpio-79-r4.apk2024-05-08 15:01 93K
[   ]libmdbx-dev-0.11.8-r0.apk2022-07-02 06:10 93K
[   ]kodi-audioencoder-lame-20.3.0-r1.apk2023-07-03 00:03 93K
[   ]arc-dark-gtk3-20221218-r0.apk2023-01-07 14:34 93K
[   ]libsemanage-3.6-r0.apk2023-12-28 05:20 93K
[   ]mpdcron-0.3-r1.apk2022-10-28 17:21 94K
[   ]mkrundir-0.3.1-r1.apk2024-03-16 18:42 94K
[   ]py3-qbittorrent-api-pyc-2024.5.62-r0.apk2024-05-30 18:16 94K
[   ]grommunio-mapi-header-php-1.3-r0.apk2024-04-26 08:25 94K
[   ]cddlib-tools-0.94m-r2.apk2023-08-01 17:19 94K
[   ]py3-unicrypto-pyc-0.0.10-r2.apk2024-04-15 23:03 94K
[   ]elf_diff-0.7.1-r1.apk2024-04-15 23:03 94K
[   ]gst-rtsp-server-dev-1.24.3-r0.apk2024-05-07 10:20 94K
[   ]libblastrampoline-dev-5.2.0-r0.apk2022-10-28 22:14 94K
[   ]sblim-wbemcli-1.6.3-r1.apk2022-10-28 17:21 94K
[   ]cpplint-pyc-1.6.1_git20240320-r1.apk2024-04-15 23:03 94K
[   ]py3-xlwt-1.3.0-r9.apk2024-04-15 23:03 95K
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-03-23 21:49 95K
[   ]ocaml-num-dev-1.4-r3.apk2024-03-23 21:49 95K
[   ]apostrophe-lang-2.6.3-r6.apk2024-04-15 09:15 95K
[   ]ovos-dinkum-listener-0.0.2-r1.apk2024-05-31 08:16 95K
[   ]py3-flask-peewee-pyc-3.0.4-r6.apk2024-04-15 23:03 95K
[   ]py3-osqp-0.6.2-r5.apk2024-04-15 23:03 96K
[   ]csfml-2.5.2-r0.apk2023-07-03 00:01 96K
[   ]ocaml-base64-3.5.0-r2.apk2024-03-23 21:49 96K
[   ]dooit-pyc-2.2.0-r1.apk2024-04-15 23:03 96K
[   ]rmlint-shredder-2.10.2-r1.apk2024-04-15 23:03 96K
[   ]libgivaro-static-4.2.0-r2.apk2023-08-01 17:19 96K
[   ]ssdfs-tools-4.09-r0.apk2023-03-16 02:58 96K
[   ]py3-soappy-pyc-0.52.28-r2.apk2024-04-15 23:03 96K
[   ]py3-youtube-search-pyc-1.6.6-r3.apk2024-04-17 04:54 96K
[   ]guish-2.6.10-r0.apk2023-05-27 17:24 96K
[   ]libmhash-0.9.9.9-r3.apk2022-10-14 17:08 96K
[   ]ustr-doc-1.0.4-r1.apk2023-05-15 18:46 97K
[   ]k2-0_git20220807-r1.apk2023-08-01 17:19 97K
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-04-15 23:03 97K
[   ]lomiri-notifications-1.3.0-r0.apk2023-12-17 23:58 97K
[   ]care-2.3.0-r0.apk2024-02-10 21:01 97K
[   ]gufw-pyc-24.04-r1.apk2024-04-15 23:03 97K
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-03-23 21:49 97K
[   ]libiio-tools-0.25-r1.apk2024-04-15 23:03 97K
[   ]u1db-qt-0.1.7-r0.apk2023-12-17 23:58 97K
[   ]eclib-dev-20231212-r1.apk2024-04-22 19:58 97K
[   ]kodi-vfs-sacd-20.1.0-r1.apk2023-07-03 00:03 97K
[   ]py3-drf-yasg-pyc-1.21.7-r1.apk2024-04-15 23:03 97K
[   ]py3-chameleon-4.5.4-r0.apk2024-04-15 09:15 97K
[   ]py3-avro-1.11.3-r1.apk2024-04-15 23:03 98K
[   ]dsp-1.9-r1.apk2023-02-28 17:31 98K
[   ]gedit-plugins-pyc-47.1-r0.apk2024-05-27 07:44 98K
[   ]soqt-dev-1.6.0-r0.apk2021-11-21 01:16 98K
[   ]py3-scs-3.2.3-r3.apk2024-04-15 23:03 98K
[   ]ginac-doc-1.8.7-r1.apk2024-01-28 17:22 98K
[   ]py3-qpageview-0.6.2-r1.apk2024-04-15 23:03 98K
[   ]htmldoc-doc-1.9.18-r0.apk2024-02-12 11:47 98K
[   ]azote-pyc-1.12.7-r0.apk2024-05-06 23:24 98K
[   ]hpnssh-doc-18.4.1-r0.apk2024-05-07 21:49 98K
[   ]zapret-doc-0.0.0_git20220125-r0.apk2022-02-02 12:47 98K
[   ]ocaml-uuseg-14.0.0-r2.apk2024-03-23 21:50 99K
[   ]tachyon-0.99_beta6-r1.apk2024-03-30 07:39 99K
[   ]castero-pyc-0.9.5-r2.apk2023-04-23 21:07 99K
[   ]perl-sys-virt-doc-10.2.0-r0.apk2024-04-09 14:16 99K
[   ]wf-config-0.8.0-r0.apk2023-11-26 00:42 99K
[   ]lomiri-telephony-service-lang-0.5.3-r0.apk2024-02-07 01:49 99K
[   ]b4-0.13.0-r0.apk2024-02-17 22:16 99K
[   ]lua5.2-penlight-1.3.0-r1.apk2022-07-26 07:59 99K
[   ]burp-doc-3.1.4-r0.apk2023-03-18 22:43 99K
[   ]lomiri-ui-toolkit-lang-1.3.5100-r0.apk2024-03-23 01:01 99K
[   ]sshuttle-pyc-1.1.1-r2.apk2024-04-15 23:03 100K
[   ]usbguard-1.1.2-r8.apk2024-01-03 20:29 100K
[   ]lomiri-settings-components-lang-1.1.1-r0.apk2024-02-07 01:49 100K
[   ]qdjango-0.6.2-r0.apk2023-11-06 18:37 100K
[   ]singular-emacs-4.3.2-r2.apk2023-08-01 17:19 100K
[   ]caffeine-ng-4.2.0-r1.apk2024-04-16 03:32 100K
[   ]ruby-libguestfs-1.52.0-r1.apk2024-04-15 23:03 100K
[   ]hdf4-dev-4.2.15-r1.apk2023-05-15 18:45 101K
[   ]catfish-pyc-4.18.0-r2.apk2024-04-15 23:03 101K
[   ]nbsdgames-5-r0.apk2022-05-04 15:20 101K
[   ]avarice-2.14-r3.apk2022-10-17 14:57 101K
[   ]h4h5tools-2.2.5-r3.apk2024-05-04 15:41 101K
[   ]ocaml-ssl-0.7.0-r0.apk2024-04-22 08:13 101K
[   ]nm-tray-0.5.0-r0.apk2024-01-28 23:12 101K
[   ]otf-atkinson-hyperlegible-2020.0514-r0.apk2020-12-01 20:48 101K
[   ]urlwatch-pyc-2.28-r1.apk2024-04-17 04:54 101K
[   ]ocaml-xmlm-dev-1.4.0-r2.apk2024-03-23 21:50 102K
[   ]getdns-doc-1.7.3-r0.apk2023-02-23 02:12 102K
[   ]ocaml-psq-0.2.0-r2.apk2024-03-23 21:49 102K
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-04-15 23:03 102K
[   ]getmail6-pyc-6.19.00-r0.apk2024-06-01 16:14 102K
[   ]yosys-dev-0.36-r3.apk2024-04-22 18:32 102K
[   ]libsbsms-2.3.0-r0.apk2021-09-05 10:43 103K
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-05-31 08:16 103K
[   ]mpop-lang-1.4.18-r0.apk2023-01-31 23:00 103K
[   ]libfoma-0.10.0_git20221230-r0.apk2023-06-17 00:20 103K
[   ]py3-catkin-pkg-pyc-0.5.2-r3.apk2024-04-15 23:03 103K
[   ]ocaml-fpath-dev-0.7.3-r2.apk2024-03-23 21:49 103K
[   ]reprotest-pyc-0.7.27-r0.apk2024-04-18 01:52 103K
[   ]py3-libcec-rpi-6.0.2-r3.apk2022-12-18 06:57 103K
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2020-12-10 20:09 104K
[   ]ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk2024-03-23 21:49 104K
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.0-r1.apk2024-04-15 23:03 104K
[   ]agg-2.5-r3.apk2023-05-15 18:45 104K
[   ]sympow-2.023.7-r0.apk2024-05-26 05:47 104K
[   ]openmg-0.0.9-r0.apk2023-04-30 23:30 104K
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-03-23 21:49 104K
[   ]pithos-1.6.1-r0.apk2023-06-17 00:21 104K
[   ]libofx-tools-0.10.9-r1.apk2023-08-26 13:15 105K
[   ]ruby-build-20240423-r0.apk2024-05-01 00:03 105K
[   ]libgedit-gtksourceview-dev-299.2.1-r0.apk2024-05-27 07:44 105K
[   ]handlebars-1.0.0-r1.apk2023-05-15 18:45 105K
[   ]py3-hiplot-0.1.33-r1.apk2024-04-15 23:03 105K
[   ]libabigail-tools-2.3-r0.apk2023-05-03 12:33 105K
[   ]pari-dev-2.15.4-r0.apk2023-07-11 05:35 105K
[   ]mediastreamer2-doc-5.3.38-r0.apk2024-04-15 09:15 105K
[   ]wayqt-0.2.0-r0.apk2023-12-30 14:27 105K
[   ]treecat-1.0.2_git20231128-r1.apk2024-02-07 21:40 106K
[   ]subliminal-pyc-2.1.0-r4.apk2024-04-15 23:03 106K
[   ]fceux-doc-2.6.6-r2.apk2024-05-25 15:02 106K
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2023-07-03 00:04 106K
[   ]hyprcursor-util-0.1.8-r0.apk2024-05-21 23:52 106K
[   ]py3-ncclient-pyc-0.6.13-r4.apk2024-04-15 23:03 107K
[   ]libgedit-tepl-6.10.0-r1.apk2024-05-29 20:03 107K
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-04-15 23:03 107K
[   ]gtksourceviewmm4-3.91.1-r2.apk2023-04-14 16:16 107K
[   ]bliss-dev-0.77-r1.apk2023-08-01 17:19 107K
[   ]moe-1.14-r0.apk2024-01-21 04:06 107K
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-04-15 23:03 107K
[   ]daemontools-0.76-r2.apk2022-10-28 17:20 107K
[   ]otrs-setup-6.0.48-r1.apk2024-01-15 10:37 107K
[   ]bananui-shell-0.2.0-r0.apk2024-02-26 22:07 107K
[   ]py3-litex-hub-pythondata-cpu-lm32-2023.12-r4.apk2024-04-15 23:03 108K
[   ]ttfautohint-libs-1.8.4-r0.apk2024-05-06 12:51 108K
[   ]pyradio-doc-0.9.3.4-r0.apk2024-05-04 15:42 108K
[   ]rizin-cutter-dev-2.3.2-r2.apk2024-04-16 18:48 108K
[   ]elf_diff-pyc-0.7.1-r1.apk2024-04-15 23:03 108K
[   ]py3-cchardet-2.1.7-r4.apk2024-04-15 23:03 108K
[   ]py3-dnslib-pyc-0.9.24-r1.apk2024-04-15 23:03 108K
[   ]otpclient-3.2.1-r1.apk2023-11-15 17:50 108K
[   ]policycoreutils-lang-3.6-r0.apk2024-01-08 10:43 108K
[   ]lomiri-gallery-app-lang-3.0.2-r0.apk2024-03-08 22:43 109K
[   ]libmhash-dev-0.9.9.9-r3.apk2022-10-14 17:08 109K
[   ]ocaml-tsdl-ttf-dev-0.6-r0.apk2024-04-22 08:13 110K
[   ]bake-2.5.1-r0.apk2022-12-22 23:49 110K
[   ]lkrg-0.9.6-r0.apk2023-08-09 12:57 110K
[   ]emacs-embark-1.0_git20240327-r0.apk2024-04-09 16:44 110K
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-04-15 23:03 110K
[   ]firewalld-gui-2.1.2-r0.apk2024-04-15 09:15 110K
[   ]font-cousine-0_git20210228-r0.apk2024-02-23 03:13 110K
[   ]hypnotix-3.5-r0.apk2023-07-18 02:30 110K
[   ]arc-darker-gtk4-20221218-r0.apk2023-01-07 14:34 110K
[   ]perl-soap-lite-1.27-r5.apk2023-07-04 00:52 110K
[   ]catdoc-0.95-r1.apk2022-10-28 17:20 110K
[   ]xtl-0.7.7-r0.apk2024-01-12 19:24 111K
[   ]gtksourceviewmm3-3.21.3-r2.apk2023-04-14 16:16 111K
[   ]berry-lang-1.1.0-r0.apk2022-08-13 01:45 111K
[   ]py3-wstools-pyc-0.4.10-r6.apk2024-04-15 23:03 111K
[   ]belr-5.3.38-r0.apk2024-04-15 09:15 111K
[   ]menumaker-0.99.14-r1.apk2022-10-14 17:08 111K
[   ]fdm-2.2-r0.apk2023-03-19 06:16 111K
[   ]android-file-transfer-cli-4.3-r0.apk2023-12-29 00:11 111K
[   ]maxima-emacs-5.47.0-r7.apk2024-04-19 08:06 111K
[   ]interception-tools-0.6.8-r2.apk2024-04-22 19:58 111K
[   ]py3-litex-hub-valentyusb-2023.12-r4.apk2024-04-15 23:03 112K
[   ]perl-libapreq2-2.17-r1.apk2023-07-04 00:52 112K
[   ]phonon-backend-vlc-qt5-0.12.0-r0.apk2023-11-06 18:37 112K
[   ]gnome-latex-doc-3.44.0-r5.apk2023-10-31 12:12 112K
[   ]compiz-pyc-0.9.14.2-r5.apk2024-05-20 09:34 112K
[   ]kodi-vfs-libarchive-20.3.0-r1.apk2023-07-03 00:03 112K
[   ]ocaml-easy-format-dev-1.3.4-r1.apk2024-03-23 21:49 112K
[   ]mediastreamer2-dev-5.3.38-r0.apk2024-04-15 09:15 112K
[   ]h4h5tools-static-2.2.5-r3.apk2024-05-04 15:41 112K
[   ]arc-lighter-gtk4-20221218-r0.apk2023-01-07 14:34 113K
[   ]wget2-2.1.0-r0.apk2023-09-04 12:04 113K
[   ]sqawk-doc-0.24.0-r0.apk2024-05-24 18:25 113K
[   ]grommunio-gromox-doc-2.28-r0.apk2024-05-24 14:40 113K
[   ]php81-pecl-imagick-3.7.0-r5.apk2024-04-11 02:39 113K
[   ]x11docker-7.6.0-r1.apk2023-12-19 15:55 113K
[   ]whipper-0.10.0-r5.apk2024-04-15 23:03 113K
[   ]arc-gtk4-20221218-r0.apk2023-01-07 14:34 114K
[   ]xosview-1.24-r0.apk2024-03-25 16:44 114K
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-03-23 21:49 114K
[   ]py3-ovos-utils-0.0.38-r0.apk2024-05-31 08:16 114K
[   ]powerctl-1.1-r5.apk2024-03-16 18:42 114K
[   ]roswell-22.12.14.113-r0.apk2023-02-03 15:48 115K
[   ]scummvm-doc-2.8.1-r0.apk2024-04-15 23:03 115K
[   ]py3-transitions-pyc-0.9.0-r3.apk2024-04-15 23:03 115K
[   ]proot-static-5.4.0-r0.apk2023-06-17 00:21 115K
[   ]venc-pyc-3.1.1-r1.apk2024-04-15 23:03 115K
[   ]py3-flask-restaction-0.25.3-r8.apk2024-04-15 23:03 115K
[   ]waylock-1.0.0-r0.apk2024-04-22 06:42 115K
[   ]detox-2.0.0-r0.apk2024-04-01 21:39 115K
[   ]htslib-dev-1.19-r0.apk2023-12-12 18:44 115K
[   ]pigpio-doc-79-r4.apk2024-05-08 15:01 115K
[   ]opkg-dev-0.6.2-r0.apk2023-11-27 22:03 116K
[   ]py3-pyexmdb-1.11-r1.apk2024-05-17 03:44 116K
[   ]fluent-bit-dev-2.1.10-r0.apk2023-10-05 22:20 116K
[   ]ucspi-tcp-0.88-r2.apk2022-10-28 17:21 116K
[   ]iipsrv-1.2-r0.apk2023-10-05 07:24 116K
[   ]granite7-7.4.0-r0.apk2023-12-23 13:59 116K
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-04-15 23:03 116K
[   ]icingaweb2-module-businessprocess-2.4.0-r0.apk2022-08-15 18:45 116K
[   ]libvisio2svg-utils-0.5.5-r3.apk2023-04-30 23:30 117K
[   ]hw-probe-1.6.5-r1.apk2023-07-04 00:52 117K
[   ]libneo4j-client-dev-2.2.0-r3.apk2022-08-21 03:34 117K
[   ]deblob-0.7-r0.apk2024-03-30 07:38 118K
[   ]libthai-doc-0.1.29-r0.apk2022-02-05 17:42 118K
[   ]bionic_translation-dbg-0_git20240525-r0.apk2024-05-28 12:54 118K
[   ]uucp-doc-1.07-r5.apk2023-06-19 20:39 118K
[   ]perl-anyevent-xmpp-doc-0.55-r0.apk2024-01-06 23:15 118K
[   ]php81-phar-8.1.29-r0.apk2024-06-06 22:04 118K
[   ]cluster-glue-libs-1.0.12-r5.apk2023-04-30 23:30 118K
[   ]font-firamath-0.3.4-r0.apk2022-08-18 21:19 118K
[   ]shellinabox-2.21-r3.apk2023-05-15 18:46 119K
[   ]perl-git-raw-doc-0.90-r0.apk2024-02-05 12:43 119K
[   ]lomiri-docviewer-app-lang-3.0.4-r0.apk2024-03-15 18:50 119K
[   ]wok-pyc-3.0.0-r6.apk2024-04-15 23:03 119K
[   ]liboggz-1.1.1-r2.apk2022-10-28 17:20 119K
[   ]stgit-1.3-r5.apk2024-04-15 23:03 119K
[   ]py3-spnego-0.10.2-r1.apk2024-04-15 23:03 119K
[   ]zile-2.6.2-r0.apk2022-09-20 02:18 119K
[   ]ocaml-mirage-flow-3.0.0-r3.apk2024-03-23 21:49 119K
[   ]libretro-xrick-0_git20220331-r0.apk2022-04-21 12:02 119K
[   ]rosdep-pyc-0.19.0-r5.apk2024-04-15 23:03 119K
[   ]atomicparsley-20221229-r1.apk2023-02-11 21:36 119K
[   ]perl-dbix-class-helpers-doc-2.036000-r3.apk2023-07-04 00:52 119K
[   ]py3-kazoo-0_git20211202-r3.apk2024-04-15 23:03 120K
[   ]tomcat9-admin-9.0.89-r0.apk2024-05-08 14:19 120K
[   ]ocaml-camlzip-1.11-r2.apk2024-03-23 21:49 120K
[   ]osmctools-0.9-r0.apk2023-03-31 23:31 120K
[   ]lumina-desktop-photo-1.6.2-r0.apk2022-07-05 21:10 120K
[   ]kodi-game-libretro-20.1.0-r0.apk2023-07-03 00:03 120K
[   ]faust-tools-2.60.3-r2.apk2023-07-03 00:01 120K
[   ]nullmailer-2.2-r4.apk2021-12-13 16:06 121K
[   ]sbase-0_git20210730-r2.apk2022-10-28 17:21 121K
[   ]telegram-tdlib-dev-1.8.9-r1.apk2023-05-15 18:46 121K
[   ]brltty-lang-6.6-r1.apk2023-11-12 13:19 121K
[   ]compiz-dev-0.9.14.2-r5.apk2024-05-20 09:34 121K
[   ]apk-tools3-libs-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 121K
[   ]fox-adie-1.6.57-r0.apk2022-08-08 12:58 121K
[   ]mir-demos-2.15.0-r1.apk2024-04-22 19:58 121K
[   ]ocaml-ptime-1.0.0-r2.apk2024-03-23 21:49 122K
[   ]chocolate-doom-doc-3.0.1-r3.apk2023-02-13 15:20 122K
[   ]libm4ri-20200125-r4.apk2023-08-01 17:19 122K
[   ]icmake-9.03.01-r0.apk2023-10-06 17:44 122K
[   ]ocaml-eqaf-dev-0.8-r2.apk2024-03-23 21:49 122K
[   ]boinc-screensaver-7.24.3-r0.apk2024-02-22 02:03 123K
[   ]py3-m2crypto-pyc-0.41.0-r1.apk2024-04-15 23:03 123K
[   ]libdbusmenu-lxqt-doc-0.1.0-r0.apk2024-05-23 04:03 123K
[   ]arc-darker-gtk3-20221218-r0.apk2023-01-07 14:34 124K
[   ]libsbsms-dev-2.3.0-r0.apk2021-09-05 10:43 124K
[   ]libgedit-gtksourceview-lang-299.2.1-r0.apk2024-05-27 07:44 124K
[   ]drogon-dev-1.9.4-r0.apk2024-05-05 23:25 125K
[   ]arc-lighter-gtk3-20221218-r0.apk2023-01-07 14:34 125K
[   ]rmlint-shredder-pyc-2.10.2-r1.apk2024-04-15 23:03 125K
[   ]ocaml-lwt_react-5.7.0-r0.apk2024-03-23 21:49 125K
[   ]barnyard2-2.1.14_git20160413-r1.apk2022-10-28 17:20 125K
[   ]py3-jsonschema417-pyc-4.17.3-r1.apk2024-04-15 23:03 125K
[   ]vcdimager-dev-2.0.1-r3.apk2023-04-30 23:31 125K
[   ]ginger-lang-2.4.0-r7.apk2024-04-15 23:03 125K
[   ]php81-gd-8.1.29-r0.apk2024-06-06 22:04 126K
[   ]android-file-transfer-libs-4.3-r0.apk2023-12-29 00:11 126K
[   ]libretro-dinothawr-0_git20220401-r0.apk2022-04-21 12:02 126K
[   ]arc-gtk3-20221218-r0.apk2023-01-07 14:34 126K
[   ]libgedit-tepl-doc-6.10.0-r1.apk2024-05-29 20:03 127K
[   ]libsimplebluez-0.6.1-r1.apk2023-07-30 00:01 127K
[   ]topgit-0.19.13-r1.apk2022-10-28 17:21 127K
[   ]py3-minidump-pyc-0.0.23-r1.apk2024-04-15 23:03 127K
[   ]ecm-7.0.5-r1.apk2023-05-15 18:45 127K
[   ]icmake-doc-9.03.01-r0.apk2023-10-06 17:44 127K
[   ]py3-rapidjson-1.12-r1.apk2024-04-15 23:03 127K
[   ]php81-soap-8.1.29-r0.apk2024-06-06 22:04 127K
[   ]cdist-pyc-7.0.0-r5.apk2024-04-15 23:03 128K
[   ]ocaml-fmt-dev-0.9.0-r2.apk2024-03-23 21:49 128K
[   ]php82-pecl-teds-1.3.0-r0.apk2022-11-10 15:42 128K
[   ]zfsbootmenu-2.3.0-r1.apk2024-05-08 00:00 128K
[   ]py3-github3-4.0.1-r1.apk2024-04-15 23:03 128K
[   ]tree-sitter-markdown-0.2.3-r0.apk2024-03-22 23:33 128K
[   ]fbp-0.5-r1.apk2022-05-05 16:59 128K
[   ]py3-minikerberos-0.4.4-r1.apk2024-04-15 23:03 129K
[   ]openttd-openmsx-0.4.2-r0.apk2021-10-17 15:36 129K
[   ]modem-manager-gui-lang-0.0.20-r0.apk2021-10-29 15:58 129K
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2022-10-28 17:20 130K
[   ]wayfire-dev-0.8.1-r0.apk2024-03-15 07:19 130K
[   ]libvoikko-4.3.2-r1.apk2024-04-15 23:03 130K
[   ]ocaml-jsonm-1.0.2-r0.apk2024-04-22 08:13 130K
[   ]bdfr-2.6.2-r1.apk2024-04-15 23:03 131K
[   ]ocaml-logs-0.7.0-r3.apk2024-03-23 21:49 131K
[   ]tpm2-pkcs11-1.9.0-r1.apk2023-04-22 18:11 131K
[   ]py3-chameleon-pyc-4.5.4-r0.apk2024-04-15 09:15 131K
[   ]py3-winacl-pyc-0.1.9-r0.apk2024-05-13 09:58 132K
[   ]himitsu-git-0.2.0-r0.apk2024-03-19 01:47 132K
[   ]py3-poppler-qt5-21.3.0-r1.apk2024-04-15 23:03 132K
[   ]py3-ovos-plugin-manager-pyc-0.0.25-r0.apk2024-05-31 08:16 132K
[   ]debconf-lang-1.5.82-r0.apk2023-03-16 15:38 132K
[   ]cgiirc-0.5.12-r1.apk2023-12-25 09:11 133K
[   ]py3-levenshtein-0.25.1-r2.apk2024-04-15 09:15 133K
[   ]rmlint-2.10.2-r1.apk2024-04-15 23:03 133K
[   ]mesa-asahi-egl-24.0.0_pre20240527-r0.apk2024-05-29 00:31 133K
[   ]ovos-audio-0.0.2_alpha42-r1.apk2024-06-02 22:26 134K
[   ]py3-proplot-0.9.7-r2.apk2024-04-15 23:03 134K
[   ]py3-doit-pyc-0.36.0-r4.apk2024-04-15 23:03 134K
[   ]piper-tts-2023.11.14.2-r4.apk2024-06-06 17:29 134K
[   ]lfm-pyc-3.1-r4.apk2024-04-15 23:03 134K
[   ]primecount-libs-7.13-r0.apk2024-05-01 19:11 134K
[   ]liboggz-doc-1.1.1-r2.apk2022-10-28 17:20 134K
[   ]hyprcursor-0.1.8-r0.apk2024-05-21 23:52 135K
[   ]wolfssh-1.4.17-r0.apk2024-04-02 11:39 135K
[   ]fcitx5-dev-5.1.9-r0.apk2024-04-25 21:24 135K
[   ]perl-mce-1.891-r0.apk2024-06-06 16:54 135K
[   ]ocaml-integers-0.7.0-r2.apk2024-03-23 21:49 135K
[   ]mapnik-doc-3.1.0-r26.apk2024-05-19 01:28 135K
[   ]libm4ri-static-20200125-r4.apk2023-08-01 17:19 135K
[   ]jitsi-meet-prosody-1.0.7792-r1.apk2024-05-24 15:05 135K
[   ]py3-marisa-trie-1.1.0-r0.apk2024-04-15 23:03 135K
[   ]php81-pecl-protobuf-4.26.0-r0.apk2024-04-11 02:39 136K
[   ]guetzli-0_git20191025-r1.apk2022-10-28 17:20 136K
[   ]arj-0_git20220125-r1.apk2022-10-14 17:08 137K
[   ]getmail6-doc-6.19.00-r0.apk2024-06-01 16:14 137K
[   ]py3-minio-pyc-7.2.0-r1.apk2024-04-15 23:03 137K
[   ]py3-msldap-0.5.10-r1.apk2024-04-15 23:03 138K
[   ]remake-1.5-r1.apk2022-10-28 17:21 138K
[   ]qml-box2d-0_git20180406-r0.apk2020-05-21 20:29 138K
[   ]emacs-consult-1.4_git20240405-r0.apk2024-04-09 16:44 138K
[   ]steghide-0.5.1.1-r0.apk2024-04-15 09:15 138K
[   ]gedit-plugins-47.1-r0.apk2024-05-27 07:44 138K
[   ]fcitx5-unikey-5.1.4-r0.apk2024-05-10 05:34 139K
[   ]neard-0.19-r0.apk2023-09-19 21:49 139K
[   ]py3-cmd2-2.4.3-r2.apk2024-04-15 23:03 139K
[   ]ocaml-lwt_log-1.1.1-r5.apk2024-03-23 21:49 140K
[   ]phonon-backend-vlc-qt6-0.12.0-r0.apk2023-11-06 18:37 140K
[   ]yodl-4.02.00-r1.apk2022-10-28 17:21 141K
[   ]motion-doc-4.6.0-r0.apk2023-11-13 23:52 141K
[   ]w_scan2-1.0.15-r0.apk2024-01-04 09:02 141K
[   ]libtins-dev-4.5-r1.apk2024-04-22 19:58 141K
[   ]piper-tts-dev-2023.11.14.2-r4.apk2024-06-06 17:29 141K
[   ]pnmixer-0.7.2-r3.apk2023-10-11 19:35 142K
[   ]zutty-0.14-r0.apk2023-10-27 07:37 142K
[   ]py3-fastapi-pyc-0.111.0-r0.apk2024-05-24 15:06 142K
[   ]log4cxx-dev-1.1.0-r1.apk2023-09-16 12:24 142K
[   ]php81-intl-8.1.29-r0.apk2024-06-06 22:04 142K
[   ]ocaml-domain-name-dev-0.4.0-r2.apk2024-03-23 21:49 142K
[   ]rauc-1.10.1-r0.apk2023-08-08 19:38 142K
[   ]motion-4.6.0-r0.apk2023-11-13 23:52 142K
[   ]py3-migen-0.9.2-r2.apk2024-04-15 23:03 143K
[   ]py3-pika-1.3.2-r1.apk2024-04-15 23:03 143K
[   ]php81-pecl-xdebug-3.3.2-r2.apk2024-06-04 02:37 143K
[   ]slidge-0.1.0-r1.apk2024-04-15 23:03 144K
[   ]grip-lang-4.2.4-r0.apk2023-01-26 20:27 144K
[   ]transmission-remote-gtk-1.6.0-r0.apk2023-07-03 00:04 144K
[   ]py3-ovos-workshop-pyc-0.0.15-r0.apk2024-05-31 08:16 145K
[   ]font-fira-code-vf-6.2-r0.apk2022-07-24 01:12 145K
[   ]tcc-libs-0.9.27_git20240117-r0.apk2024-01-26 07:27 145K
[   ]brltty-dev-6.6-r1.apk2023-11-12 13:19 146K
[   ]py3-django-debug-toolbar-4.4.2-r0.apk2024-05-29 23:29 146K
[   ]mmix-0_git20221025-r0.apk2022-12-14 12:16 147K
[   ]perl-ffi-platypus-doc-2.08-r0.apk2024-02-03 10:53 147K
[   ]py3-invoke-2.2.0-r2.apk2024-04-15 23:03 147K
[   ]gatling-0.16-r4.apk2023-08-07 22:56 147K
[   ]ocaml-curses-1.0.10-r2.apk2024-03-23 21:49 147K
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-04-15 23:03 147K
[   ]binwalk-2.4.1-r0.apk2024-05-01 11:32 147K
[   ]ocaml-fpath-0.7.3-r2.apk2024-03-23 21:49 147K
[   ]ocaml-mikmatch-1.0.9-r2.apk2024-03-23 21:49 148K
[   ]sdparm-1.12-r1.apk2022-10-28 17:21 148K
[   ]lizardfs-3.13.0-r13.apk2024-04-22 19:58 148K
[   ]openswitcher-0.5.0-r3.apk2024-04-15 23:03 148K
[   ]xfce4-mailwatch-plugin-lang-1.3.1-r1.apk2023-10-31 12:12 149K
[   ]freediameter-libfdcore-1.5.0-r1.apk2022-11-06 11:59 149K
[   ]py3-opendht-3.1.7-r2.apk2024-04-15 23:03 149K
[   ]libsemanage-dev-3.6-r0.apk2023-12-28 05:20 149K
[   ]ocaml-happy-eyeballs-dev-0.3.0-r2.apk2024-03-23 21:49 149K
[   ]nb-7.12.1-r0.apk2024-02-24 00:35 149K
[   ]py3-blockdiag-pyc-3.0.0-r4.apk2024-04-15 23:03 150K
[   ]smplxmpp-0.9.3-r2.apk2024-04-29 18:49 150K
[   ]moosefs-chunkserver-3.0.117-r1.apk2023-06-17 23:06 151K
[   ]materia-gtk-theme-20210322-r1.apk2022-10-28 22:30 151K
[   ]vit-pyc-2.3.2-r1.apk2024-04-15 23:03 151K
[   ]gdm-settings-4.3-r1.apk2024-04-15 23:03 152K
[   ]mono-doc-6.12.0.205-r1.apk2023-07-30 00:01 152K
[   ]heisenbridge-pyc-1.14.6-r0.apk2024-06-02 19:39 152K
[   ]bordeaux-0.8.1-r0.apk2024-02-26 22:07 152K
[   ]py3-plexapi-4.15.13-r0.apk2024-05-20 09:26 152K
[   ]libbamf-0.5.6-r1.apk2023-10-20 09:13 153K
[   ]cscope-15.9-r1.apk2022-10-14 17:08 153K
[   ]fcitx5-anthy-5.1.4-r0.apk2024-05-10 05:34 153K
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2022-07-05 21:10 153K
[   ]fcitx5-lang-5.1.9-r0.apk2024-04-25 21:24 154K
[   ]pidif-0.1-r1.apk2023-05-24 16:04 154K
[   ]libemf2svg-1.1.0-r2.apk2022-10-28 17:20 154K
[   ]mimedefang-3.4.1-r0.apk2023-05-01 18:37 154K
[   ]pithos-pyc-1.6.1-r0.apk2023-06-17 00:21 154K
[   ]py3-openapi-core-pyc-0.19.1-r0.apk2024-05-27 04:18 154K
[   ]py3-freetype-py-2.4.0-r1.apk2024-04-15 23:03 154K
[   ]miraclecast-1.0_git20221016-r0.apk2022-10-17 16:16 155K
[   ]gdm-settings-lang-4.3-r1.apk2024-04-15 23:03 155K
[   ]ocaml-pcre-7.5.0-r4.apk2024-03-23 21:49 156K
[   ]thefuck-pyc-3.32-r3.apk2024-04-15 23:03 156K
[   ]hamster-time-tracker-3.0.3-r2.apk2024-04-15 23:03 156K
[   ]py3-pdal-3.2.3-r4.apk2024-04-15 23:03 156K
[   ]libqb-doc-2.0.8-r0.apk2023-08-03 18:08 156K
[   ]bankstown-lv2-1.1.0-r0.apk2024-01-04 20:54 156K
[   ]wok-3.0.0-r6.apk2024-04-15 23:03 157K
[   ]haredo-1.0.4-r1.apk2024-03-16 18:42 157K
[   ]venc-3.1.1-r1.apk2024-04-15 23:03 158K
[   ]fava-pyc-1.26.4-r0.apk2024-01-19 23:43 158K
[   ]snapper-lang-0.11.0-r0.apk2024-05-14 14:12 158K
[   ]clustershell-1.9.2-r1.apk2024-05-23 23:23 158K
[   ]ttfautohint-dev-1.8.4-r0.apk2024-05-06 12:51 159K
[   ]libntl-dev-11.5.1-r3.apk2023-08-01 17:19 159K
[   ]mailutils-doc-3.17-r0.apk2024-01-19 19:21 159K
[   ]lumina-desktop-archiver-1.6.2-r0.apk2022-07-05 21:10 159K
[   ]kdiskmark-3.1.4-r1.apk2023-10-17 18:45 159K
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2022-07-05 21:10 160K
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-05-08 15:01 160K
[   ]wk-adblock-0.0.4-r5.apk2023-05-24 16:04 160K
[   ]mixxx-doc-2.4.1-r0.apk2024-06-05 23:00 160K
[   ]kodi-game-libretro-frodo-0.0.1.25-r0.apk2023-07-03 00:03 160K
[   ]m17n-lib-1.8.4-r1.apk2023-09-19 23:47 161K
[   ]ocaml-arp-dev-3.0.0-r3.apk2024-03-23 21:49 162K
[   ]click-0.5.2-r1.apk2024-04-15 23:03 162K
[   ]libretro-frodo-0_git20221221-r0.apk2022-04-21 12:02 162K
[   ]rlottie-0.2_git20230831-r0.apk2023-12-18 15:55 162K
[   ]micropython-cross-1.22.1-r0.apk2024-01-07 02:32 163K
[   ]ace-of-penguins-1.4-r2.apk2022-03-01 11:21 163K
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-05-31 08:16 164K
[   ]py3-moviepy-pyc-1.0.3-r4.apk2023-05-24 23:47 164K
[   ]ocaml-lru-dev-0.3.0-r2.apk2024-03-23 21:49 164K
[   ]py3-netmiko-4.3.0-r1.apk2024-04-15 23:03 164K
[   ]firecracker-rebase-snap-1.4.1-r0.apk2023-10-03 21:10 165K
[   ]perl-git-raw-0.90-r0.apk2024-02-05 12:43 165K
[   ]sc-im-0.8.3-r0.apk2023-03-16 02:58 165K
[   ]ocaml-ocplib-endian-1.2-r3.apk2024-03-23 21:49 165K
[   ]olsrd-0.9.8-r2.apk2022-10-28 17:21 165K
[   ]py3-xlwt-pyc-1.3.0-r9.apk2024-04-15 23:03 166K
[   ]py3-tlslite-ng-0.7.6-r7.apk2024-04-15 23:03 166K
[   ]virtme-ng-1.25-r0.apk2024-05-25 13:31 166K
[   ]seastar-testing-22.11.0_git20240315-r3.apk2024-05-19 12:03 166K
[   ]ocaml-mqtt-0.2.2-r0.apk2024-04-22 08:13 166K
[   ]mame-plugins-0.251-r0.apk2023-02-22 12:49 166K
[   ]binwalk-pyc-2.4.1-r0.apk2024-05-01 11:32 166K
[   ]ustr-static-1.0.4-r1.apk2023-05-15 18:46 166K
[   ]py3-html5-parser-0.4.12-r1.apk2024-04-15 23:03 167K
[   ]py3-cssutils-2.10.2-r0.apk2024-04-26 15:59 167K
[   ]burp-3.1.4-r0.apk2023-03-18 22:43 168K
[   ]ocaml-calendar-dev-2.04-r4.apk2024-03-23 21:49 168K
[   ]lomiri-indicator-network-lang-1.0.2-r0.apk2024-02-07 01:49 168K
[   ]libretro-mu-0_git20220317-r0.apk2022-04-21 12:02 168K
[   ]komikku-lang-1.46.0-r0.apk2024-05-17 14:01 168K
[   ]hy-pyc-0.29.0-r0.apk2024-05-31 01:04 169K
[   ]libstirshaken-tools-0_git20240208-r2.apk2024-02-08 10:25 169K
[   ]dinit-0.18.0-r2.apk2024-06-02 17:35 169K
[   ]liblinbox-1.7.0-r3.apk2023-08-01 17:19 169K
[   ]perl-mce-doc-1.891-r0.apk2024-06-06 16:54 169K
[   ]g4music-3.6-r0.apk2024-06-01 15:05 170K
[   ]ptpd-2.3.1-r1.apk2022-10-28 17:21 170K
[   ]lcalc-2.0.5-r1.apk2023-03-16 02:57 170K
[   ]xboard-doc-4.9.1-r2.apk2023-08-01 17:20 171K
[   ]wolfssh-dev-1.4.17-r0.apk2024-04-02 11:39 171K
[   ]youtube-viewer-gtk-3.11.1-r0.apk2024-03-14 18:22 171K
[   ]gpscorrelate-doc-2.0_git20230605-r0.apk2023-06-17 00:19 171K
[   ]py3-asyauth-pyc-0.0.20-r1.apk2024-04-15 23:03 171K
[   ]libretro-opera-0_git20211214-r0.apk2022-04-21 12:02 172K
[   ]py3-flask-peewee-3.0.4-r6.apk2024-04-15 23:03 172K
[   ]bananui-dbg-2.0.0-r0.apk2023-10-06 07:49 172K
[   ]libgedit-gtksourceview-doc-299.2.1-r0.apk2024-05-27 07:44 172K
[   ]kimchi-lang-3.0.0-r7.apk2024-04-15 23:03 172K
[   ]ocaml-uucd-dev-14.0.0-r2.apk2024-03-23 21:50 173K
[   ]commoncpp-dev-7.0.1-r1.apk2022-08-04 10:46 173K
[   ]liboggz-dev-1.1.1-r2.apk2022-10-28 17:20 173K
[   ]lomiri-ui-toolkit-dev-1.3.5100-r0.apk2024-03-23 01:01 173K
[   ]py3-langcodes-3.3.0-r2.apk2024-04-15 23:03 174K
[   ]ocaml-fix-20220121-r2.apk2024-03-23 21:49 174K
[   ]lomiri-libusermetrics-1.3.2-r0.apk2024-02-07 01:49 174K
[   ]ocaml-psq-dev-0.2.0-r2.apk2024-03-23 21:49 174K
[   ]mimalloc1-debug-1.8.6-r0.apk2024-05-20 02:03 174K
[   ]qqc2-suru-style-0.20230206-r0.apk2023-12-17 23:58 174K
[   ]ocaml-cairo2-0.6.2-r2.apk2024-03-23 21:49 174K
[   ]gearmand-1.1.21-r1.apk2024-04-22 19:58 174K
[   ]warpinator-lang-1.8.3-r0.apk2024-02-10 09:40 174K
[   ]perl-extutils-makemaker-7.70-r2.apk2024-02-21 08:50 175K
[   ]lomiri-filemanager-app-lang-1.0.4-r0.apk2024-03-15 18:50 175K
[   ]u-boot-s5p6818-1.2-r0.apk2019-10-28 22:04 175K
[   ]mongo-cxx-driver-3.8.0-r0.apk2023-08-19 08:39 176K
[   ]srain-1.7.0-r0.apk2024-05-01 08:29 177K
[   ]perl-ffi-platypus-2.08-r0.apk2024-02-03 10:53 177K
[   ]lizardfs-metalogger-3.13.0-r13.apk2024-04-22 19:58 177K
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-03-23 21:49 177K
[   ]ocaml-base64-dev-3.5.0-r2.apk2024-03-23 21:49 178K
[   ]libcec-rpi-6.0.2-r3.apk2022-12-18 06:57 178K
[   ]click-pyc-0.5.2-r1.apk2024-04-15 23:03 178K
[   ]nlopt-2.7.1-r0.apk2022-05-06 11:53 178K
[   ]libsimpleble-0.6.1-r1.apk2023-07-30 00:01 178K
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2023.12-r4.apk2024-04-15 23:03 179K
[   ]ocaml-sexplib0-0.16.0-r0.apk2024-03-23 21:50 179K
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-04-15 23:03 179K
[   ]py3-owslib-0.30.0-r0.apk2024-04-29 00:46 180K
[   ]sedutil-1.15.1-r1.apk2022-10-28 17:21 180K
[   ]kodi-game-libretro-fbneo-1.0.0.71-r0.apk2023-07-03 00:03 180K
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-04-15 23:03 180K
[   ]pympress-1.8.5-r1.apk2024-04-15 23:03 181K
[   ]cddlib-0.94m-r2.apk2023-08-01 17:19 181K
[   ]aravis-libs-0.8.31-r0.apk2024-03-16 18:38 182K
[   ]py3-libguestfs-1.52.0-r1.apk2024-04-15 23:03 182K
[   ]pympress-pyc-1.8.5-r1.apk2024-04-15 23:03 182K
[   ]getdns-libs-1.7.3-r0.apk2023-02-23 02:12 182K
[   ]pcsc-tools-1.7.1-r0.apk2023-12-31 21:26 183K
[   ]py3-netifaces2-0.0.22-r0.apk2024-04-16 02:38 184K
[   ]hiawatha-11.5-r0.apk2024-04-15 09:15 185K
[   ]ocaml-astring-dev-0.8.5-r2.apk2024-03-23 21:49 185K
[   ]whipper-pyc-0.10.0-r5.apk2024-04-15 23:03 185K
[   ]py3-xsdata-24.5-r0.apk2024-05-10 09:25 185K
[   ]android-file-transfer-4.3-r0.apk2023-12-29 00:11 185K
[   ]lumina-desktop-textedit-1.6.2-r0.apk2022-07-05 21:10 185K
[   ]guake-pyc-3.10-r1.apk2024-04-15 23:03 186K
[   ]libretro-gme-0_git20220410-r0.apk2022-04-21 12:02 186K
[   ]ocaml-angstrom-0.16.0-r0.apk2024-03-23 21:49 186K
[   ]spread-sheet-widget-dbg-0.8-r0.apk2021-11-13 23:25 186K
[   ]libretro-gw-0_git20220410-r0.apk2022-04-21 12:02 187K
[   ]perl-sys-virt-10.2.0-r0.apk2024-04-09 14:16 187K
[   ]py3-ly-0.9.8-r1.apk2024-04-15 23:03 187K
[   ]anari-sdk-static-0.7.2-r0.apk2023-11-06 18:35 187K
[   ]fcitx5-qt-qt5-5.1.6-r0.apk2024-05-10 05:34 188K
[   ]guake-lang-3.10-r1.apk2024-04-15 23:03 188K
[   ]hare-wayland-0_git20231129-r0.apk2023-12-01 00:51 188K
[   ]openjdk22-doc-22.0.1_p8-r2.apk2024-04-26 02:12 188K
[   ]xandikos-pyc-0.2.11-r1.apk2024-04-15 23:03 188K
[   ]php81-pecl-timezonedb-2024.1-r0.apk2024-04-11 02:39 188K
[   ]opendht-3.1.7-r2.apk2024-04-15 23:03 189K
[   ]php81-pecl-swoole-dev-5.1.3-r0.apk2024-06-06 18:23 189K
[   ]sublime-music-0.12.0-r1.apk2024-04-17 04:54 190K
[   ]gearmand-doc-1.1.21-r1.apk2024-04-22 19:58 190K
[   ]mesa-asahi-gl-24.0.0_pre20240527-r0.apk2024-05-29 00:31 190K
[   ]nitro-dev-2.7_beta8-r2.apk2023-10-19 18:09 190K
[   ]sane-airscan-0.99.27-r1.apk2023-04-30 23:31 190K
[   ]dnssec-tools-dev-2.2.3-r10.apk2023-07-08 03:17 191K
[   ]endeavour-43.0-r1.apk2024-03-23 20:25 191K
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-03-23 21:49 191K
[   ]py3-avro-pyc-1.11.3-r1.apk2024-04-15 23:03 191K
[   ]plplot-libs-5.15.0-r2.apk2022-10-28 17:21 192K
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-03-23 21:49 192K
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2022-07-05 21:10 192K
[   ]py3-slidge-style-parser-0.1.6-r1.apk2024-04-15 23:03 194K
[   ]nvim-treesitter-0.0.0_git20221013-r0.apk2022-10-13 22:58 194K
[   ]soapy-sdr-remote-0.5.2-r1.apk2023-07-30 00:01 194K
[   ]liblinbox-static-1.7.0-r3.apk2023-08-01 17:19 195K
[   ]glfw-wayland-dbg-3.3.8-r3.apk2023-07-04 02:01 195K
[   ]gingerbase-2.3.0-r7.apk2024-04-15 23:03 195K
[   ]libettercap-0.8.3.1-r2.apk2022-10-18 05:56 196K
[   ]ocaml-mirage-flow-dev-3.0.0-r3.apk2024-03-23 21:49 196K
[   ]moosefs-3.0.117-r1.apk2023-06-17 23:06 196K
[   ]libthai-0.1.29-r0.apk2022-02-05 17:42 196K
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-03-14 23:55 197K
[   ]qt6ct-0.9-r0.apk2023-10-23 00:10 197K
[   ]level-zero-1.17.6-r0.apk2024-05-30 18:31 197K
[   ]libvmaf-dev-3.0.0-r0.apk2024-02-05 21:23 197K
[   ]lcalc-libs-2.0.5-r1.apk2023-03-16 02:57 197K
[   ]mjpg-streamer-0_git20210220-r1.apk2022-10-28 17:21 198K
[   ]apache-mod-auth-openidc-2.4.15.7-r1.apk2024-05-07 05:38 198K
[   ]ocaml-react-dev-1.2.2-r2.apk2024-03-23 21:49 198K
[   ]font-tinos-0_git20210228-r0.apk2024-02-23 03:13 199K
[   ]boinc-libs-7.24.3-r0.apk2024-02-22 02:03 199K
[   ]olsrd-plugins-0.9.8-r2.apk2022-10-28 17:21 199K
[   ]py3-m2crypto-0.41.0-r1.apk2024-04-15 23:03 199K
[   ]ocaml-lambdasoup-0.7.3-r2.apk2024-03-23 21:49 199K
[   ]poke-doc-4.1-r0.apk2024-06-02 07:31 201K
[   ]himitsu-firefox-0.5-r0.apk2024-03-19 16:01 201K
[   ]agg-dev-2.5-r3.apk2023-05-15 18:45 201K
[   ]remake-doc-1.5-r1.apk2022-10-28 17:21 202K
[   ]libssl1.1-1.1.1w-r0.apk2023-09-12 13:26 202K
[   ]perl-module-generic-doc-0.37.1-r0.apk2024-05-05 17:53 202K
[   ]b4-pyc-0.13.0-r0.apk2024-02-17 22:16 202K
[   ]warpinator-1.8.3-r0.apk2024-02-10 09:40 202K
[   ]jotdown-0.4.0-r0.apk2024-05-19 01:28 203K
[   ]php81-pecl-redis-6.0.2-r2.apk2024-06-04 02:37 203K
[   ]horizon-0.9.6-r9.apk2024-04-22 19:58 204K
[   ]csfml-doc-2.5.2-r0.apk2023-07-03 00:01 204K
[   ]avdl-0.4.3-r1.apk2022-08-20 16:26 204K
[   ]gedit-plugins-doc-47.1-r0.apk2024-05-27 07:44 204K
[   ]mrsh-dbg-0_git20210518-r1.apk2022-10-28 17:21 205K
[   ]endeavour-lang-43.0-r1.apk2024-03-23 20:25 205K
[   ]py3-dateparser-1.2.0-r1.apk2024-04-15 23:03 205K
[   ]font-fontawesome-4-4.7.0-r3.apk2022-10-11 04:13 205K
[   ]wget2-libs-2.1.0-r0.apk2023-09-04 12:04 206K
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-04-15 23:03 206K
[   ]belcard-libs-5.3.38-r0.apk2024-04-15 09:15 206K
[   ]mspdebug-0.25-r1.apk2022-10-28 17:21 206K
[   ]ginger-pyc-2.4.0-r7.apk2024-04-15 23:03 207K
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2023-06-17 00:20 207K
[   ]py3-litex-hub-pythondata-cpu-marocchino-2023.12-r4.apk2024-04-15 23:03 208K
[   ]ocaml-fmt-0.9.0-r2.apk2024-03-23 21:49 208K
[   ]hare-compress-0_git20231101-r0.apk2024-05-08 01:02 209K
[   ]pdal-python-plugins-1.2.1-r3.apk2024-04-15 23:03 210K
[   ]nodejs18-dev-18.19.1-r0.apk2024-03-14 18:21 210K
[   ]nsjail-3.4-r2.apk2023-11-15 17:50 210K
[   ]sfwbar-1.0_beta14-r0.apk2024-01-04 02:09 210K
[   ]pdm-2.12.4-r1.apk2024-04-15 23:03 210K
[   ]py3-ovos-utils-pyc-0.0.38-r0.apk2024-05-31 08:16 211K
[   ]ocaml-ssl-dev-0.7.0-r0.apk2024-04-22 08:13 211K
[   ]py3-flask-security-pyc-5.4.3-r1.apk2024-04-15 23:03 211K
[   ]hdf4-tools-4.2.15-r1.apk2023-05-15 18:45 213K
[   ]hfst-dev-3.16.0-r2.apk2024-04-15 23:03 213K
[   ]zydis-4.1.0-r0.apk2024-04-06 00:35 213K
[   ]chicago95-fonts-3.0.1-r0.apk2024-01-19 04:28 215K
[   ]libecm-7.0.5-r1.apk2023-05-15 18:46 215K
[   ]dum-0.1.19-r1.apk2023-05-24 16:03 217K
[   ]kodi-peripheral-joystick-20.1.9-r0.apk2023-07-03 00:03 217K
[   ]diceware-0.10-r1.apk2024-04-15 23:03 218K
[   ]ocaml-omod-dev-0.0.3-r3.apk2024-03-23 21:49 218K
[   ]trantor-1.5.18-r0.apk2024-05-04 22:50 218K
[   ]catfish-4.18.0-r2.apk2024-04-15 23:03 219K
[   ]java-jtharness-examples-6.0_p12-r0.apk2022-10-05 21:11 219K
[   ]gmic-doc-3.3.5-r0.apk2024-04-26 15:52 219K
[   ]cz-viator-hourglass-black-20210706-r0.apk2022-02-07 13:33 219K
[   ]gst-rtsp-server-1.24.3-r0.apk2024-05-07 10:20 219K
[   ]py3-spnego-pyc-0.10.2-r1.apk2024-04-15 23:03 219K
[   ]mir-test-tools-2.15.0-r1.apk2024-04-22 19:58 219K
[   ]purple-hangouts-0_git20200422-r0.apk2020-07-04 19:19 219K
[   ]tree-sitter-elixir-0.2.0-r0.apk2024-04-28 11:31 219K
[   ]py3-imdbpy-2021.4.18-r4.apk2024-04-15 23:03 220K
[   ]pigpio-79-r4.apk2024-05-08 15:01 220K
[   ]nuklear-4.12.0-r0.apk2024-02-18 02:31 220K
[   ]syncthing-gtk-pyc-0.9.4.5-r1.apk2024-04-15 23:03 221K
[   ]ocaml-camlzip-dev-1.11-r2.apk2024-03-23 21:49 221K
[   ]py3-duniterpy-1.1.1-r3.apk2024-04-15 23:03 221K
[   ]aufs-util-20161219-r2.apk2023-12-07 16:23 222K
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-03-23 21:50 222K
[   ]openttd-doc-13.4-r1.apk2023-11-12 13:21 222K
[   ]psftools-1.1.1-r0.apk2022-01-11 21:17 223K
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-04-15 23:03 223K
[   ]asteroid-icons-ion-2.0.0-r0.apk2023-09-01 08:31 223K
[   ]lomiri-thumbnailer-3.0.3-r1.apk2024-04-22 19:58 223K
[   ]lomiri-libusermetrics-doc-1.3.2-r0.apk2024-02-07 01:49 224K
[   ]php81-pecl-xlswriter-1.5.5-r0.apk2024-04-11 02:39 224K
[   ]lomiri-clock-app-4.0.3-r0.apk2023-12-17 23:58 224K
[   ]shadowsocks-libev-3.3.5-r4.apk2024-04-15 09:15 224K
[   ]lomiri-settings-components-1.1.1-r0.apk2024-02-07 01:49 225K
[   ]py3-proplot-pyc-0.9.7-r2.apk2024-04-15 23:03 225K
[   ]muon-0.2.0-r2.apk2024-03-27 22:18 225K
[   ]gmid-2.0.3-r0.apk2024-06-04 19:07 225K
[   ]parcellite-1.2.4.0-r0.apk2024-02-24 14:44 225K
[   ]soqt-1.6.0-r0.apk2021-11-21 01:16 225K
[   ]qt5ct-1.7-r0.apk2023-04-18 13:42 226K
[   ]xboard-lang-4.9.1-r2.apk2023-08-01 17:20 226K
[   ]hunspell-es-ar-2.7-r0.apk2023-06-17 00:19 226K
[   ]scap-workbench-1.2.1-r2.apk2023-02-13 15:23 227K
[   ]py3-github3-pyc-4.0.1-r1.apk2024-04-15 23:03 227K
[   ]fiery-1.1.2-r1.apk2024-02-05 16:35 228K
[   ]py3-litex-hub-pythondata-cpu-picorv32-2023.12-r4.apk2024-04-15 23:03 228K
[   ]ocaml-xml-light-2.5-r0.apk2024-03-23 21:50 228K
[   ]lomiri-docviewer-app-3.0.4-r0.apk2024-03-15 18:50 228K
[   ]swayhide-0.2.1-r1.apk2023-05-24 16:04 229K
[   ]ncdu2-2.3_git20240412-r0.apk2024-04-25 20:41 230K
[   ]getting-things-gnome-lang-0.6-r3.apk2024-04-15 23:03 230K
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-05-08 19:44 230K
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2023-12-04 09:23 231K
[   ]py3-asysocks-pyc-0.2.12-r1.apk2024-04-15 23:03 231K
[   ]py3-invoke-pyc-2.2.0-r2.apk2024-04-15 23:03 231K
[   ]straw-viewer-0.1.3-r2.apk2023-07-04 00:52 232K
[   ]elementary-photos-publishing-2.8.0-r1.apk2023-04-30 23:30 232K
[   ]py3-pynest2d-5.2.2-r4.apk2024-04-22 19:58 232K
[   ]ocaml-calendar-2.04-r4.apk2024-03-23 21:49 233K
[   ]tup-0.7.11-r0.apk2023-03-06 14:16 233K
[   ]py3-pelican-4.9.1-r2.apk2024-04-15 23:03 234K
[   ]xdg-desktop-portal-hyprland-1.3.1-r2.apk2024-03-15 07:19 234K
[   ]gpa-0.10.0-r2.apk2022-10-28 17:20 235K
[   ]lomiri-weather-app-5.13.5-r0.apk2024-03-15 18:50 235K
[   ]libm4rie-20200125-r3.apk2023-08-01 17:19 236K
[   ]py3-tpm2-pytss-pyc-2.2.1-r0.apk2024-03-14 18:21 236K
[   ]firecracker-seccompiler-1.4.1-r0.apk2023-10-03 21:10 236K
[   ]grommunio-admin-api-1.15-r2.apk2024-05-31 01:04 236K
[   ]wlroots0.12-0.12.0-r1.apk2022-09-02 20:54 237K
[   ]sigma-0.23.1-r1.apk2024-04-15 23:03 237K
[   ]stgit-pyc-1.3-r5.apk2024-04-15 23:03 237K
[   ]libretro-cannonball-0_git20220309-r6.apk2024-04-22 19:58 238K
[   ]tpm2-tools-5.6-r0.apk2024-02-01 02:07 239K
[   ]py3-scrapy-2.11.1-r1.apk2024-04-15 23:03 240K
[   ]kodi-game-libretro-atari800-3.1.0.28-r0.apk2023-07-03 00:03 241K
[   ]fcitx5-qt-qt6-5.1.6-r0.apk2024-05-10 05:34 241K
[   ]devil-1.8.0-r0.apk2023-09-12 12:41 241K
[   ]objconv-2.52_git20210213-r2.apk2022-10-28 17:21 242K
[   ]py3-imdbpy-pyc-2021.4.18-r4.apk2024-04-15 23:03 243K
[   ]micropython-1.22.1-r0.apk2024-01-07 02:32 244K
[   ]libgivaro-dev-4.2.0-r2.apk2023-08-01 17:19 244K
[   ]py3-kazoo-pyc-0_git20211202-r3.apk2024-04-15 23:03 245K
[   ]libopensles-standalone-dbg-0_git20240221-r0.apk2024-04-29 09:26 245K
[   ]mkdocs-cinder-1.2.0-r4.apk2024-04-15 23:03 246K
[   ]xfe-xfp-1.46.1-r0.apk2024-03-05 01:48 246K
[   ]py3-pika-pyc-1.3.2-r1.apk2024-04-15 23:03 246K
[   ]mkdocs-rtd-dropdown-1.0.2-r4.apk2024-04-15 23:03 246K
[   ]openssl1.1-compat-1.1.1w-r0.apk2023-09-12 13:26 248K
[   ]visidata-2.11.1-r2.apk2024-04-15 23:03 248K
[   ]font-comic-neue-2.51-r0.apk2021-02-18 19:21 249K
[   ]platformio-core-6.1.7-r2.apk2024-05-14 18:31 249K
[   ]libxmp-4.6.0-r0.apk2023-07-03 00:03 249K
[   ]hdf4-4.2.15-r1.apk2023-05-15 18:45 249K
[   ]lomiri-lang-0.2.1-r1.apk2024-03-26 01:03 249K
[   ]timew-1.4.3-r1.apk2022-10-28 17:21 249K
[   ]linphone-dev-5.3.38-r0.apk2024-04-15 09:15 250K
[   ]ocaml-cmdliner-dev-1.1.1-r3.apk2024-03-23 21:49 250K
[   ]catfish-lang-4.18.0-r2.apk2024-04-15 23:03 250K
[   ]opmsg-1.84-r1.apk2022-08-04 10:48 250K
[   ]font-commit-mono-1.143-r0.apk2023-12-31 16:43 251K
[   ]clapper-0.6.0-r0.apk2024-04-26 23:56 252K
[   ]perl-module-generic-0.37.1-r0.apk2024-05-05 17:53 252K
[   ]snapraid-12.3-r0.apk2024-01-26 03:24 253K
[   ]libm4rie-static-20200125-r3.apk2023-08-01 17:19 253K
[   ]speedtest-5.2.5-r1.apk2023-09-04 14:28 253K
[   ]ovos-core-pyc-0.0.8_alpha105-r0.apk2024-05-31 08:16 254K
[   ]vera++-1.3.0-r10.apk2024-04-22 18:32 254K
[   ]avra-dev-1.4.2-r0.apk2023-08-21 09:01 255K
[   ]libretro-atari800-0_git20220327-r0.apk2022-04-21 12:02 256K
[   ]fig2dev-3.2.8b-r0.apk2022-03-11 11:37 257K
[   ]ginger-2.4.0-r7.apk2024-04-15 23:03 257K
[   ]cddlib-static-0.94m-r2.apk2023-08-01 17:19 258K
[   ]lomiri-ui-extras-0.6.3-r0.apk2024-02-07 01:49 258K
[   ]ocaml-lwt_log-dev-1.1.1-r5.apk2024-03-23 21:49 259K
[   ]mkdocs-bootstrap4-0.1.5-r4.apk2024-04-15 23:03 259K
[   ]ocaml-magic-mime-1.3.1-r0.apk2024-04-22 08:13 260K
[   ]advancescan-1.18-r1.apk2022-10-28 17:20 260K
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-04-22 08:13 260K
[   ]gede-2.18.2-r1.apk2023-12-19 15:55 261K
[   ]openssl1.1-compat-dev-1.1.1w-r0.apk2023-09-12 13:26 261K
[   ]timewarrior-1.7.1-r0.apk2024-01-17 23:56 263K
[   ]py3-minikerberos-pyc-0.4.4-r1.apk2024-04-15 23:03 264K
[   ]ocaml-ocplib-endian-dev-1.2-r3.apk2024-03-23 21:49 264K
[   ]font-anonymous-pro-1.002-r2.apk2022-10-11 04:13 264K
[   ]tmate-2.4.0-r4.apk2023-03-05 03:21 265K
[   ]ocaml-uunf-dev-14.0.0-r2.apk2024-03-23 21:50 266K
[   ]dcmtk-doc-3.6.8-r0.apk2024-01-13 23:53 266K
[   ]irccd-4.0.3-r0.apk2023-07-30 00:01 266K
[   ]mailutils-3.17-r0.apk2024-01-19 19:21 266K
[   ]ocaml-metrics-0.4.0-r3.apk2024-03-23 21:49 267K
[   ]py3-flask-security-5.4.3-r1.apk2024-04-15 23:03 267K
[   ]ocaml-num-1.4-r3.apk2024-03-23 21:49 267K
[   ]postgresql-pgmq-1.1.1-r0.apk2024-01-28 17:22 268K
[   ]apache-mod-auth-openidc-static-2.4.15.7-r1.apk2024-05-07 05:38 269K
[   ]py3-iso639-lang-2.2.3-r0.apk2024-04-18 15:58 269K
[   ]libfyaml-0.9-r0.apk2023-12-21 23:36 270K
[   ]xfe-xfi-1.46.1-r0.apk2024-03-05 01:48 270K
[   ]xtensor-0.24.7-r0.apk2024-01-12 19:24 270K
[   ]mediascanner2-0.115-r0.apk2024-03-15 18:50 270K
[   ]lynis-3.1.1-r0.apk2024-03-18 01:13 271K
[   ]drawing-lang-1.0.2-r0.apk2023-03-06 14:04 272K
[   ]restinio-dev-0.6.17-r6.apk2024-04-22 19:58 273K
[   ]gforth-doc-0.7.3-r3.apk2021-10-15 04:20 274K
[   ]py3-anyascii-0.3.2-r1.apk2024-04-15 23:03 275K
[   ]py3-tlslite-ng-pyc-0.7.6-r7.apk2024-04-15 23:03 275K
[   ]welle-cli-2.4-r5.apk2024-04-24 22:49 275K
[   ]lomiri-content-hub-1.1.1-r0.apk2024-02-10 14:53 276K
[   ]gaupol-1.12-r2.apk2024-04-15 23:03 276K
[   ]gaupol-lang-1.12-r2.apk2024-04-15 23:03 277K
[   ]ocaml-integers-dev-0.7.0-r2.apk2024-03-23 21:49 277K
[   ]py3-cssutils-pyc-2.10.2-r0.apk2024-04-26 15:59 277K
[   ]masky-0.2.0-r1.apk2024-04-15 23:03 278K
[   ]libretro-crocods-0_git20210314-r1.apk2021-06-03 17:02 278K
[   ]lsmash-2.14.5-r2.apk2022-10-28 17:21 279K
[   ]commoncpp-7.0.1-r1.apk2022-08-04 10:46 280K
[   ]libusbguard-1.1.2-r8.apk2024-01-03 20:29 281K
[   ]vectoroids-1.1.0-r2.apk2024-05-28 13:28 281K
[   ]font-intel-one-mono-1.3.0-r0.apk2023-09-17 18:46 281K
[   ]amule-doc-2.3.3-r13.apk2024-04-22 19:57 281K
[   ]slidge-pyc-0.1.0-r1.apk2024-04-15 23:03 283K
[   ]moosefs-master-3.0.117-r1.apk2023-06-17 23:06 284K
[   ]moosefs-client-3.0.117-r1.apk2023-06-17 23:06 284K
[   ]litehtml-0.8-r2.apk2023-08-01 17:19 284K
[   ]py3-tpm2-pytss-2.2.1-r0.apk2024-03-14 18:21 284K
[   ]emacs-ement-0.14_git20240320-r0.apk2024-04-02 11:39 284K
[   ]hex-0.6.0-r0.apk2024-01-08 10:42 285K
[   ]mergerfs-2.38.1-r0.apk2024-01-22 14:52 285K
[   ]csmith-2.3.0-r1.apk2022-10-28 17:20 286K
[   ]py3-imageio-2.34.0-r1.apk2024-04-17 04:54 286K
[   ]anari-sdk-0.7.2-r0.apk2023-11-06 18:35 287K
[   ]coventry-0.8.1-r0.apk2024-02-24 16:01 287K
[   ]xfe-xfw-1.46.1-r0.apk2024-03-05 01:48 287K
[   ]powerline-extra-symbols-0_git20191017-r0.apk2021-03-21 07:00 287K
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2023-10-15 01:23 287K
[   ]lomiri-weather-app-lang-5.13.5-r0.apk2024-03-15 18:50 288K
[   ]libqofono-qt5-0.122-r0.apk2023-12-24 16:57 288K
[   ]py3-cassandra-driver-3.29.1-r0.apk2024-04-15 23:03 289K
[   ]stardict-lang-3.0.6-r6.apk2023-04-30 23:31 290K
[   ]ngs-0.2.14-r0.apk2022-10-09 00:04 290K
[   ]waylevel-1.0.0-r1.apk2023-05-24 16:04 290K
[   ]ocaml-uucd-14.0.0-r2.apk2024-03-23 21:50 290K
[   ]vivid-0.9.0-r1.apk2023-05-24 16:04 291K
[   ]uasm-2.56.2-r0.apk2023-10-23 00:10 291K
[   ]py3-python-stdnum-pyc-1.19-r1.apk2024-04-15 23:03 291K
[   ]wlroots0.15-0.15.1-r6.apk2023-07-03 18:36 291K
[   ]py3-optuna-3.6.1-r1.apk2024-04-15 23:03 292K
[   ]laminar-1.3-r4.apk2024-04-22 19:58 293K
[   ]level-zero-dev-1.17.6-r0.apk2024-05-30 18:31 294K
[   ]toybox-0.8.11-r0.apk2024-04-29 23:07 294K
[   ]xvkbd-4.1-r2.apk2022-10-28 17:21 294K
[   ]py3-migen-pyc-0.9.2-r2.apk2024-04-15 23:03 296K
[   ]esptool-4.7.0-r1.apk2024-04-15 23:03 297K
[   ]trigger-rally-0.6.7-r2.apk2024-01-02 09:41 297K
[   ]ocaml-react-1.2.2-r2.apk2024-03-23 21:49 297K
[   ]percona-toolkit-doc-3.5.4-r0.apk2023-08-13 18:27 297K
[   ]coxeter-libs-3.0-r1.apk2023-08-01 17:19 298K
[   ]libretro-nxengine-0_git20220301-r0.apk2022-04-21 12:02 298K
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-03-23 21:49 298K
[   ]libretro-cap32-0_git20220419-r0.apk2022-04-21 12:02 299K
[   ]ocaml-astring-0.8.5-r2.apk2024-03-23 21:49 300K
[   ]lipstick-asteroidos-2.0.0-r1.apk2023-10-08 12:34 300K
[   ]ocaml-otr-0.3.10-r2.apk2024-03-23 21:49 300K
[   ]libspatialindex-0_git20210205-r1.apk2023-11-06 18:37 300K
[   ]par2cmdline-turbo-1.1.1-r0.apk2023-12-01 02:00 300K
[   ]noson-2.10.3-r0.apk2023-06-17 00:20 300K
[   ]pypy3-tkinter-7.3.12-r0.apk2023-06-17 00:21 302K
[   ]sublime-music-pyc-0.12.0-r1.apk2024-04-17 04:54 302K
[   ]apache2-mod-perl-doc-2.0.13-r0.apk2023-10-22 14:57 303K
[   ]libtins-4.5-r1.apk2024-04-22 19:58 303K
[   ]py3-plexapi-pyc-4.15.13-r0.apk2024-05-20 09:26 303K
[   ]morph-browser-lang-1.1.0-r0.apk2024-02-07 01:49 303K
[   ]ocaml-conduit-6.1.0-r0.apk2024-04-22 08:13 305K
[   ]ocaml-pcre-dev-7.5.0-r4.apk2024-03-23 21:49 305K
[   ]guake-3.10-r1.apk2024-04-15 23:03 305K
[   ]rizin-dev-0.6.3-r0.apk2023-10-18 17:52 306K
[   ]guestfs-tools-1.52.0-r1.apk2024-04-15 23:03 306K
[   ]kodi-pvr-hts-20.6.2-r1.apk2023-07-03 00:03 307K
[   ]diskus-0.7.0-r2.apk2024-05-22 23:47 307K
[   ]habitctl-0.1.0-r2.apk2023-05-24 16:04 307K
[   ]helvum-0.5.1-r0.apk2023-09-30 17:56 307K
[   ]chiaki-2.2.0-r0.apk2024-01-26 15:21 308K
[   ]pw-volume-0.5.0-r1.apk2023-05-24 16:04 309K
[   ]plplot-doc-5.15.0-r2.apk2022-10-28 17:21 311K
[   ]biometryd-0.3.1-r1.apk2024-05-22 20:34 311K
[   ]cluster-glue-1.0.12-r5.apk2023-04-30 23:30 311K
[   ]flint-dev-2.9.0-r1.apk2023-01-07 12:00 311K
[   ]py3-msldap-pyc-0.5.10-r1.apk2024-04-15 23:03 312K
[   ]minisatip-1.3.4-r0.apk2024-03-15 07:19 312K
[   ]xgalaga-2.1.1.0-r1.apk2022-10-28 17:21 313K
[   ]pypykatz-0.6.9-r1.apk2024-04-15 23:03 314K
[   ]ocaml-curses-dev-1.0.10-r2.apk2024-03-23 21:49 315K
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2022-04-21 12:02 315K
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2019-11-22 16:17 316K
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2019-11-22 16:17 316K
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2019-11-22 16:17 316K
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2019-11-22 16:17 316K
[   ]dnssec-tools-doc-2.2.3-r10.apk2023-07-08 03:17 316K
[   ]perl-libintl-perl-1.33-r1.apk2023-07-04 00:52 316K
[   ]fuzzylite-libs-6.0-r0.apk2023-04-17 14:06 318K
[   ]projectm-sdl-3.1.12-r2.apk2024-05-08 15:01 318K
[   ]py3-apsw-pyc-3.45.2.0-r1.apk2024-04-15 23:03 319K
[   ]libguestfs-1.52.0-r1.apk2024-04-15 23:03 320K
[   ]gstreamermm-dev-1.10.0-r4.apk2022-10-28 17:20 320K
[   ]monetdb-doc-11.33.11-r4.apk2023-04-30 23:30 321K
[   ]barman-3.10.0-r1.apk2024-04-15 23:03 321K
[   ]py3-netmiko-pyc-4.3.0-r1.apk2024-04-15 23:03 322K
[   ]electron-dev-30.0.9-r0.apk2024-05-31 00:34 323K
[   ]rclone-browser-1.8.0-r1.apk2022-10-28 17:21 323K
[   ]grommunio-sync-2.0_git20240327-r2.apk2024-05-31 01:04 324K
[   ]ocaml-ocf-dev-0.8.0-r3.apk2024-03-23 21:49 325K
[   ]foma-0.10.0_git20221230-r0.apk2023-06-17 00:19 327K
[   ]3proxy-0.9.4-r0.apk2023-09-18 07:37 329K
[   ]libblastrampoline-5.2.0-r0.apk2022-10-28 22:14 329K
[   ]ocaml-notty-0.2.3-r0.apk2024-03-23 21:49 329K
[   ]ocaml-fileutils-0.6.4-r2.apk2024-03-23 21:49 329K
[   ]openwsman-libs-2.7.2-r4.apk2024-04-15 23:03 330K
[   ]ocaml-asn1-combinators-0.2.6-r2.apk2024-03-23 21:49 331K
[   ]modem-manager-gui-0.0.20-r0.apk2021-10-29 15:58 332K
[   ]libvmaf-3.0.0-r0.apk2024-02-05 21:23 334K
[   ]py3-eventlet-0.36.1-r0.apk2024-04-15 09:15 334K
[   ]ripdrag-0.4.8-r0.apk2024-04-28 12:24 334K
[   ]ocaml-magic-mime-dev-1.3.1-r0.apk2024-04-22 08:13 335K
[   ]ocaml-gen-1.1-r1.apk2024-03-23 21:49 335K
[   ]opentelemetry-cpp-dev-1.11.0-r3.apk2024-05-25 07:22 335K
[   ]perl-snmp-info-3.970001-r0.apk2024-04-03 01:39 335K
[   ]lomiri-filemanager-app-1.0.4-r0.apk2024-03-15 18:50 335K
[   ]libsigrokdecode-0.5.3-r4.apk2024-04-15 15:54 336K
[   ]py3-eventlet-pyc-0.36.1-r0.apk2024-04-15 09:15 336K
[   ]py3-falcon-pyc-3.1.3-r0.apk2024-05-27 04:18 337K
[   ]tree-sitter-kotlin-0.3.6-r0.apk2024-05-10 02:34 337K
[   ]ocaml-sexplib0-dev-0.16.0-r0.apk2024-03-23 21:50 338K
[   ]bartib-1.0.1-r1.apk2023-05-24 16:03 338K
[   ]rnote-lang-0.10.2-r0.apk2024-04-07 02:46 338K
[   ]libsemigroups-dev-2.7.3-r0.apk2024-01-22 07:22 338K
[   ]kodi-inputstream-ffmpegdirect-20.5.0-r1.apk2023-07-03 00:03 339K
[   ]eclib-20231212-r1.apk2024-04-22 19:58 339K
[   ]ocaml-ipaddr-5.3.1-r2.apk2024-03-23 21:49 339K
[   ]mesa-asahi-dev-24.0.0_pre20240527-r0.apk2024-05-29 00:31 340K
[   ]sigma-pyc-0.23.1-r1.apk2024-04-15 23:03 340K
[   ]tree-sitter-c-sharp-0.21.2-r0.apk2024-05-10 02:36 341K
[   ]py3-dateparser-pyc-1.2.0-r1.apk2024-04-15 23:03 341K
[   ]py3-apsw-3.45.2.0-r1.apk2024-04-15 23:03 342K
[   ]vixl-dev-7.0.0-r0.apk2024-02-20 16:45 343K
[   ]php81-pear-8.1.29-r0.apk2024-06-06 22:04 344K
[   ]toml2json-1.3.1-r0.apk2023-08-05 12:40 344K
[   ]ocaml-angstrom-dev-0.16.0-r0.apk2024-03-23 21:49 344K
[   ]speakersafetyd-0.1.9-r0.apk2023-12-31 12:46 345K
[   ]fflas-ffpack-2.5.0-r3.apk2023-08-01 17:19 345K
[   ]perl-dbix-class-doc-0.082843-r1.apk2023-07-04 00:52 345K
[   ]bobcat-doc-4.09.00-r0.apk2023-10-06 08:58 345K
[   ]cutechess-cli-1.3.1-r0.apk2023-09-24 20:36 346K
[   ]liquid-dsp-1.5.0-r0.apk2023-01-24 17:47 346K
[   ]perl-html-object-0.5.0-r0.apk2024-05-04 17:37 348K
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2023.12-r4.apk2024-04-15 23:03 348K
[   ]pympress-doc-1.8.5-r1.apk2024-04-15 23:03 348K
[   ]ocaml-lambdasoup-dev-0.7.3-r2.apk2024-03-23 21:49 349K
[   ]ocaml-utop-2.9.1-r4.apk2024-04-04 12:39 349K
[   ]lomiri-history-service-0.5-r0.apk2024-06-02 16:22 351K
[   ]ocaml-omod-0.0.3-r3.apk2024-03-23 21:49 352K
[   ]spread-sheet-widget-dev-0.8-r0.apk2021-11-13 23:25 353K
[   ]xml2rfc-3.21.0-r0.apk2024-04-17 13:21 353K
[   ]pastel-0.9.0-r2.apk2023-07-03 00:03 353K
[   ]coin-dev-4.0.0-r6.apk2024-04-22 19:57 354K
[   ]lgogdownloader-3.12-r2.apk2024-04-22 19:58 354K
[   ]py3-django-suit-0.2.28-r7.apk2024-04-15 23:03 354K
[   ]musikcube-plugin-server-3.0.2-r1.apk2023-12-13 21:24 355K
[   ]py3-ly-pyc-0.9.8-r1.apk2024-04-15 23:03 355K
[   ]planner-0.14.92-r0.apk2024-01-08 10:42 355K
[   ]ocaml-down-dev-0.1.0-r3.apk2024-03-23 21:49 357K
[   ]checkpolicy-3.6-r0.apk2024-01-08 10:42 357K
[   ]freediameter-extensions-1.5.0-r1.apk2022-11-06 11:59 357K
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-05-31 08:16 358K
[   ]lomiri-app-launch-0.1.9-r2.apk2024-04-27 00:28 358K
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-04-15 23:03 358K
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-04-15 23:03 358K
[   ]py3-pycaption-2.2.10-r0.apk2024-05-26 05:47 359K
[   ]ocaml-bos-dev-0.2.1-r2.apk2024-03-23 21:49 359K
[   ]sndfile-tools-doc-1.5-r1.apk2023-07-30 00:01 361K
[   ]yazi-cli-0.2.5-r0.apk2024-04-29 00:46 363K
[   ]fileshelter-5.1.2-r4.apk2024-04-22 19:58 364K
[   ]py3-hfst-3.16.0-r2.apk2024-04-15 23:03 364K
[   ]gloox-1.0.28-r0.apk2023-11-24 01:24 365K
[   ]lsmash-dev-2.14.5-r2.apk2022-10-28 17:21 366K
[   ]torrent-file-editor-0.3.18-r0.apk2023-07-03 00:04 366K
[   ]gnome-latex-3.44.0-r5.apk2023-10-31 12:12 367K
[   ]py3-aioxmpp-0.13.3-r2.apk2024-04-15 23:03 368K
[   ]keydb-cli-6.3.4-r0.apk2024-05-25 22:53 369K
[   ]wcm-0.8.0-r0.apk2023-11-26 00:42 369K
[   ]desed-1.2.1-r1.apk2023-05-24 16:03 369K
[   ]ocaml-biniou-dev-1.2.1-r5.apk2024-03-23 21:49 369K
[   ]singular-dev-4.3.2-r2.apk2023-08-01 17:19 370K
[   ]highctidh-1.0.2024050500-r0.apk2024-05-06 02:44 370K
[   ]jackdaw-pyc-0.3.1-r1.apk2024-04-15 23:03 370K
[   ]mediastreamer2-5.3.38-r0.apk2024-04-15 09:15 371K
[   ]py3-igraph-pyc-0.11.5-r0.apk2024-05-13 09:58 373K
[   ]libntl-doc-11.5.1-r3.apk2023-08-01 17:19 374K
[   ]advancemame-doc-3.9-r4.apk2023-03-16 02:55 374K
[   ]perl-snmp-info-doc-3.970001-r0.apk2024-04-03 01:39 374K
[   ]lomiri-calculator-app-4.0.2-r0.apk2024-03-15 18:50 375K
[   ]qtile-0.23.0-r1.apk2024-04-15 23:03 375K
[   ]php81-fileinfo-8.1.29-r0.apk2024-06-06 22:04 377K
[   ]kimchi-pyc-3.0.0-r7.apk2024-04-15 23:03 378K
[   ]clustershell-pyc-1.9.2-r1.apk2024-05-23 23:23 378K
[   ]freshrss-lang-1.23.1-r1.apk2024-03-18 07:42 379K
[   ]lumina-desktop-fm-1.6.2-r0.apk2022-07-05 21:10 380K
[   ]py3-highctidh-1.0.2024050500-r0.apk2024-05-06 02:44 381K
[   ]grip-4.2.4-r0.apk2023-01-26 20:27 383K
[   ]py3-slixmpp-1.8.5-r2.apk2024-04-15 09:15 383K
[   ]schismtracker-20231029-r0.apk2023-11-19 14:18 384K
[   ]snapweb-0.7.0-r0.apk2024-05-31 22:55 385K
[   ]terminalpp-0.8.4-r0.apk2022-10-13 05:21 385K
[   ]py3-telegram-bot-20.8-r1.apk2024-04-15 23:03 386K
[   ]cargo-sort-1.0.9_git20240110-r0.apk2024-04-22 18:35 386K
[   ]libdjinterop-0.20.2-r0.apk2024-06-05 23:00 387K
[   ]py3-owslib-pyc-0.30.0-r0.apk2024-04-29 00:46 387K
[   ]uefitool-0.28.0-r1.apk2022-10-28 17:21 387K
[   ]py3-pacparser-1.4.3-r1.apk2024-04-15 23:03 387K
[   ]htslib-1.19-r0.apk2023-12-12 18:44 389K
[   ]ocaml-xml-light-dev-2.5-r0.apk2024-03-23 21:50 389K
[   ]atlantik-3.5.10_git20240323-r0.apk2024-03-23 20:25 391K
[   ]py3-xsdata-pyc-24.5-r0.apk2024-05-10 09:25 393K
[   ]solanum-3.0.1_git20220607-r1.apk2023-02-13 15:20 394K
[   ]py3-onelogin-3.1.6-r1.apk2024-04-15 23:03 394K
[   ]zycore-doc-1.5.0-r0.apk2024-04-06 00:35 394K
[   ]simgear-dev-2020.3.19-r1.apk2024-04-22 19:58 394K
[   ]piper-phonemize-dev-2023.11.14.4-r2.apk2024-06-06 17:29 394K
[   ]libretro-tyrquake-0_git20220409-r0.apk2022-04-21 12:02 395K
[   ]drumgizmo-0.9.20-r1.apk2023-07-03 00:01 395K
[   ]ocaml-ca-certs-nss-3.89.1-r1.apk2024-03-23 21:49 395K
[   ]libqofono-qt6-0.122-r0.apk2023-12-24 16:57 396K
[   ]noblenote-1.2.1-r1.apk2022-10-28 17:21 396K
[   ]gnome-latex-lang-3.44.0-r5.apk2023-10-31 12:12 397K
[   ]vkbasalt-0.3.2.10-r0.apk2024-01-19 00:38 397K
[   ]qsynth-0.9.13-r0.apk2024-02-03 21:58 398K
[   ]openvpn3-3.8.5-r0.apk2024-05-20 09:06 398K
[   ]lomiri-clock-app-lang-4.0.3-r0.apk2023-12-17 23:58 399K
[   ]klfc-doc-1.5.7-r0.apk2022-08-21 17:20 400K
[   ]ocaml-parsexp-0.16.0-r0.apk2024-03-23 21:49 401K
[   ]birdtray-1.9.0-r1.apk2022-10-28 17:20 403K
[   ]py3-igraph-0.11.5-r0.apk2024-05-13 09:58 406K
[   ]xml2rfc-pyc-3.21.0-r0.apk2024-04-17 13:21 407K
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-05-08 15:01 408K
[   ]kodi-vfs-rar-20.1.0-r1.apk2023-07-03 00:03 409K
[   ]lizardfs-chunkserver-3.13.0-r13.apk2024-04-22 19:58 409K
[   ]nitrocli-0.4.1-r3.apk2023-05-24 16:04 410K
[   ]py3-fastavro-1.9.4-r2.apk2024-05-08 23:15 411K
[   ]highctidh-dev-1.0.2024050500-r0.apk2024-05-06 02:44 411K
[   ]lua5.1-luacov-html-1.0.0-r1.apk2022-06-02 17:21 413K
[   ]lua5.2-luacov-html-1.0.0-r1.apk2022-06-02 17:21 413K
[   ]lua5.3-luacov-html-1.0.0-r1.apk2022-06-02 17:21 413K
[   ]startup-2.0.3-r4.apk2023-07-03 00:04 413K
[   ]svgbob-0.7.2-r0.apk2023-09-10 01:28 415K
[   ]ocaml-topkg-dev-1.0.5-r2.apk2024-03-23 21:50 418K
[   ]ocaml-qtest-2.11.2-r3.apk2024-03-23 21:49 418K
[   ]diskonaut-0.11.0-r3.apk2023-05-24 16:03 419K
[   ]gaupol-pyc-1.12-r2.apk2024-04-15 23:03 419K
[   ]libretro-neocd-0_git20220325-r0.apk2022-04-21 12:02 419K
[   ]qtpass-1.4.0-r0.apk2023-11-06 18:37 421K
[   ]seastar-dev-22.11.0_git20240315-r3.apk2024-05-19 12:03 421K
[   ]pspp-doc-1.4.1-r3.apk2023-07-04 00:52 422K
[   ]ircd-hybrid-8.2.43-r0.apk2023-06-17 00:19 423K
[   ]arcticons-icon-theme-light-9.6.5.0-r0.apk2024-06-05 19:58 423K
[   ]arcticons-icon-theme-dark-9.6.5.0-r0.apk2024-06-05 19:58 423K
[   ]cargo-vendor-filterer-0.5.9-r1.apk2023-05-24 16:03 424K
[   ]cargo-run-bin-1.7.2-r0.apk2024-01-19 03:11 425K
[   ]syncthing-gtk-0.9.4.5-r1.apk2024-04-15 23:03 425K
[   ]geotagging-0.7.2-r1.apk2024-02-22 01:49 426K
[   ]font-stix-ttf-2.13-r0.apk2024-02-23 03:13 430K
[   ]perl-dbix-class-0.082843-r1.apk2023-07-04 00:52 430K
[   ]ocaml-fix-dev-20220121-r2.apk2024-03-23 21:49 431K
[   ]gamescope-3.12.0-r1.apk2023-11-26 00:42 433K
[   ]keydb-benchmark-6.3.4-r0.apk2024-05-25 22:53 433K
[   ]projectm-3.1.12-r2.apk2024-05-08 15:01 434K
[   ]exabgp-4.2.21-r4.apk2024-04-15 23:03 436K
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-05-31 08:16 437K
[   ]lcalc-doc-2.0.5-r1.apk2023-03-16 02:57 437K
[   ]py3-aiohttp-debugtoolbar-0.6.1-r1.apk2024-04-15 23:03 437K
[   ]spice-html5-0.3.0-r1.apk2021-09-10 01:56 438K
[   ]mnemosyne-lang-2.10.1-r1.apk2024-04-19 15:10 439K
[   ]py3-textual-0.47.1-r1.apk2024-04-15 23:03 439K
[   ]lol-html-1.1.1-r0.apk2023-11-06 18:37 439K
[   ]xsane-lang-0.999-r1.apk2022-12-16 08:48 440K
[   ]cln-1.3.7-r0.apk2024-01-28 17:21 442K
[   ]knxd-0.14.61-r0.apk2024-05-13 19:37 442K
[   ]welle-io-2.4-r5.apk2024-04-24 22:49 443K
[   ]ghostcloud-0.9.9.5-r2.apk2024-04-30 14:28 443K
[   ]mimalloc1-dev-1.8.6-r0.apk2024-05-20 02:03 444K
[   ]megazeux-doc-2.93-r0.apk2024-01-19 02:35 444K
[   ]pdm-pyc-2.12.4-r1.apk2024-04-15 23:03 444K
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2022-04-21 12:02 445K
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2022-10-28 17:21 445K
[   ]ocaml-cairo2-dev-0.6.2-r2.apk2024-03-23 21:49 446K
[   ]esptool-pyc-4.7.0-r1.apk2024-04-15 23:03 446K
[   ]ocaml-ca-certs-nss-dev-3.89.1-r1.apk2024-03-23 21:49 448K
[   ]volatility3-2.5.2-r1.apk2024-04-15 23:03 448K
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2022-04-21 12:02 450K
[   ]py3-flask-bootstrap-3.3.7.1-r8.apk2024-04-15 23:03 450K
[   ]lout-doc-3.42.2-r0.apk2023-06-17 00:20 453K
[   ]pypy-tkinter-7.3.12-r0.apk2023-06-17 00:21 453K
[   ]gnome-metronome-1.3.0-r0.apk2023-06-17 00:19 455K
[   ]tomcat9-examples-9.0.89-r0.apk2024-05-08 14:19 455K
[   ]ocaml-metrics-dev-0.4.0-r3.apk2024-03-23 21:49 456K
[   ]ocaml-otoml-1.0.5-r0.apk2024-04-22 08:13 460K
[   ]timeshift-24.01.1-r0.apk2024-03-09 21:53 460K
[   ]cdist-7.0.0-r5.apk2024-04-15 23:03 461K
[   ]featherpad-lang-1.5.1-r0.apk2024-05-10 02:48 463K
[   ]heh-0.5.0-r0.apk2024-04-11 02:39 464K
[   ]river-0.3.2-r0.apk2024-05-31 00:34 466K
[   ]qflipper-1.3.3-r0.apk2023-11-16 00:42 469K
[   ]perl-html-object-doc-0.5.0-r0.apk2024-05-04 17:37 470K
[   ]mapnik-dev-3.1.0-r26.apk2024-05-19 01:28 471K
[   ]libppl-1.2-r1.apk2023-05-15 18:46 471K
[   ]gstreamermm-1.10.0-r4.apk2022-10-28 17:20 471K
[   ]mm-common-1.0.5-r0.apk2023-01-01 23:06 473K
[   ]wordgrinder-0.8-r1.apk2022-10-28 17:21 475K
[   ]libmedc-python-pyc-4.1.1-r3.apk2024-05-04 15:42 475K
[   ]vcdimager-2.0.1-r3.apk2023-04-30 23:31 476K
[   ]mmtc-0.3.2-r0.apk2023-11-12 13:40 476K
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-04-15 23:03 482K
[   ]quakespasm-0.96.1-r0.apk2024-01-05 22:31 482K
[   ]libgedit-gtksourceview-299.2.1-r0.apk2024-05-27 07:44 483K
[   ]logwatch-7.10-r1.apk2024-05-05 17:28 483K
[   ]php82-pdlib-1.1.0-r1.apk2024-04-02 11:39 484K
[   ]litehtml-static-0.8-r2.apk2023-08-01 17:19 485K
[   ]qstardict-1.3-r1.apk2022-10-28 17:21 486K
[   ]ocaml-alcotest-1.5.0-r4.apk2024-04-22 08:13 486K
[   ]turn-rs-balance-2.1.3-r0.apk2024-04-18 16:02 487K
[   ]motion-lang-4.6.0-r0.apk2023-11-13 23:52 488K
[   ]ocaml-ocp-index-1.3.6-r0.apk2024-03-23 21:49 488K
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2023-06-17 00:20 490K
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2022-04-21 12:02 490K
[   ]chicago95-3.0.1-r0.apk2024-01-19 04:28 491K
[   ]ocaml-bos-0.2.1-r2.apk2024-03-23 21:49 492K
[   ]py3-openwisp-utils-1.0.4-r2.apk2024-04-15 23:03 492K
[   ]ocaml-cmdliner-1.1.1-r3.apk2024-03-23 21:49 495K
[   ]macchina-6.1.8-r1.apk2023-05-24 16:04 496K
[   ]htslib-static-1.19-r0.apk2023-12-12 18:44 496K
[   ]visidata-pyc-2.11.1-r2.apk2024-04-15 23:03 497K
[   ]tpm2-tools-doc-5.6-r0.apk2024-02-01 02:07 498K
[   ]getting-things-gnome-doc-0.6-r3.apk2024-04-15 23:03 498K
[   ]py3-litex-hub-pythondata-cpu-cva5-2023.12-r4.apk2024-04-15 23:03 500K
[   ]bore-0.5.0-r1.apk2023-05-24 16:03 500K
[   ]libsigrok-0.5.2-r2.apk2023-05-15 18:46 501K
[   ]uucp-1.07-r5.apk2023-06-19 20:39 501K
[   ]libretro-openlara-0_git20210121-r0.apk2022-04-21 12:02 502K
[   ]py3-imageio-pyc-2.34.0-r1.apk2024-04-17 04:54 502K
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-05-13 19:37 502K
[   ]materia-dark-kde-plasma-20220823-r0.apk2023-03-19 23:40 503K
[   ]plots-0.7.0-r0.apk2023-09-25 06:43 506K
[   ]ocaml-sexplib-0.16.0-r0.apk2024-03-23 21:50 509K
[   ]libguestfs-static-1.52.0-r1.apk2024-04-15 23:03 509K
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2023-06-17 00:20 510K
[   ]log4cxx-1.1.0-r1.apk2023-09-16 12:24 511K
[   ]ovn-doc-24.03.1-r0.apk2024-04-12 08:15 512K
[   ]php81-opcache-8.1.29-r0.apk2024-06-06 22:04 515K
[   ]barman-pyc-3.10.0-r1.apk2024-04-15 23:03 515K
[   ]ocaml-lwd-0.3-r0.apk2024-03-23 21:49 518K
[   ]liquid-dsp-dev-1.5.0-r0.apk2023-01-24 17:47 519K
[   ]scooper-1.3-r1.apk2023-05-15 18:46 519K
[   ]riemann-cli-0.8.0-r2.apk2023-05-24 16:04 525K
[   ]py3-beartype-pyc-0.18.5-r0.apk2024-04-25 02:50 525K
[   ]httrack-doc-3.49.2-r5.apk2023-05-15 18:45 528K
[   ]ocaml-ocp-index-dev-1.3.6-r0.apk2024-03-23 21:49 529K
[   ]py3-nikola-pyc-8.3.0-r2.apk2024-04-15 23:03 529K
[   ]splitter-0.3.0-r0.apk2024-02-25 21:38 529K
[   ]qtmir-0.7.2-r0.apk2024-01-28 18:49 530K
[   ]ocaml-otr-dev-0.3.10-r2.apk2024-03-23 21:49 531K
[   ]aspell-es-1.11-r0.apk2022-05-12 11:38 533K
[   ]xfe-lang-1.46.1-r0.apk2024-03-05 01:48 534K
[   ]jrsonnet-cli-0.4.2-r1.apk2023-05-24 16:04 534K
[   ]mkdocs-bootswatch-1.1-r4.apk2024-04-15 23:03 535K
[   ]kimchi-3.0.0-r7.apk2024-04-15 23:03 536K
[   ]ttyper-1.4.1-r0.apk2024-02-03 10:39 536K
[   ]marxan-4.0.7-r1.apk2022-10-28 17:21 537K
[   ]felix-2.13.0-r0.apk2024-05-04 15:41 537K
[   ]rpg-cli-1.0.1-r1.apk2023-05-24 16:04 538K
[   ]faust-static-2.60.3-r2.apk2023-07-03 00:01 539K
[   ]hyperlink-0.1.32-r0.apk2024-04-03 01:39 539K
[   ]mapserver-dev-8.0.1-r4.apk2024-05-19 01:28 539K
[   ]mailutils-libs-3.17-r0.apk2024-01-19 19:21 540K
[   ]firewalld-lang-2.1.2-r0.apk2024-04-15 09:15 541K
[   ]ocaml-zed-3.1.0-r3.apk2024-03-23 21:50 542K
[   ]nitro-2.7_beta8-r2.apk2023-10-19 18:09 543K
[   ]libretro-picodrive-0_git20220405-r0.apk2022-04-21 12:02 545K
[   ]lomiri-system-settings-lang-1.1.0-r0.apk2024-03-15 23:56 549K
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-05-31 08:16 550K
[   ]postgresql-pg_graphql-1.4.2-r0.apk2023-12-18 23:16 552K
[   ]ocaml-conduit-dev-6.1.0-r0.apk2024-04-22 08:13 552K
[   ]platformio-core-pyc-6.1.7-r2.apk2024-05-14 18:31 552K
[   ]ocaml-bisect_ppx-dev-2.8.3-r0.apk2024-03-23 21:49 553K
[   ]apk-tools3-dbg-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 556K
[   ]pypy3-dev-7.3.12-r0.apk2023-06-17 00:21 556K
[   ]bees-0.10-r0.apk2023-09-05 14:10 557K
[   ]opentelemetry-cpp-1.11.0-r3.apk2024-05-25 07:22 557K
[   ]ocaml-re-1.11.0-r1.apk2024-03-23 21:49 559K
[   ]morph-browser-1.1.0-r0.apk2024-02-07 01:49 560K
[   ]libguestfs-doc-1.52.0-r1.apk2024-04-15 23:03 560K
[   ]gedit-47.0-r0.apk2024-05-27 07:44 560K
[   ]libretro-daphne-0_git20210108-r1.apk2021-06-03 17:02 560K
[   ]py3-cassandra-driver-pyc-3.29.1-r0.apk2024-04-15 23:03 561K
[   ]libuninameslist-20230916-r0.apk2023-09-18 06:50 563K
[   ]gnu-apl-dev-1.8-r1.apk2024-03-14 18:19 564K
[   ]ocaml-ipaddr-dev-5.3.1-r2.apk2024-03-23 21:49 564K
[   ]lomiri-download-manager-0.1.3-r1.apk2024-04-22 19:58 565K
[   ]perl-libintl-perl-doc-1.33-r1.apk2023-07-04 00:52 567K
[   ]orage-4.18.0-r0.apk2023-02-24 00:04 568K
[   ]font-tiresias-0_git20200704-r0.apk2023-01-02 23:42 568K
[   ]libmedc-4.1.1-r3.apk2024-05-04 15:42 568K
[   ]gedit-plugins-lang-47.1-r0.apk2024-05-27 07:44 571K
[   ]ocaml-ocp-indent-1.8.2-r2.apk2024-03-23 21:49 571K
[   ]wayfire-plugins-extra-0.8.1-r0.apk2024-03-15 23:56 571K
[   ]php81-mbstring-8.1.29-r0.apk2024-06-06 22:04 572K
[   ]py3-optuna-pyc-3.6.1-r1.apk2024-04-15 23:03 573K
[   ]pari-2.15.4-r0.apk2023-07-11 05:35 573K
[   ]java-asmtools-8.0.09-r0.apk2023-11-06 18:36 574K
[   ]fcitx5-configtool-5.1.5-r0.apk2024-05-10 05:34 575K
[   ]gufw-24.04-r1.apk2024-04-15 23:03 576K
[   ]ocaml-uuidm-tools-0.9.8-r2.apk2024-03-23 21:50 577K
[   ]ocaml-ounit-2.2.7-r3.apk2024-03-23 21:49 577K
[   ]nicotine-plus-lang-3.3.2-r1.apk2024-04-15 23:03 577K
[   ]nsh-0.4.2-r1.apk2023-05-24 16:04 578K
[   ]xonsh-0.16.0-r0.apk2024-05-06 23:24 578K
[   ]ocaml-jsonm-tools-1.0.2-r0.apk2024-04-22 08:13 578K
[   ]ocaml-bitstring-dev-4.1.0-r3.apk2024-03-23 21:49 578K
[   ]ocaml-asn1-combinators-dev-0.2.6-r2.apk2024-03-23 21:49 579K
[   ]opendht-libs-3.1.7-r2.apk2024-04-15 23:03 580K
[   ]gutenprint-libs-5.3.4-r3.apk2023-05-21 19:06 581K
[   ]ocaml-tsdl-dev-1.0.0-r0.apk2024-04-22 08:13 582K
[   ]boinc-dev-7.24.3-r0.apk2024-02-22 02:03 591K
[   ]mdbook-katex-0.8.1-r0.apk2024-05-20 09:58 593K
[   ]uranium-5.2.2-r3.apk2024-04-15 23:03 596K
[   ]greetd-wlgreet-0.5.0-r0.apk2024-04-22 06:42 596K
[   ]py3-aiosmb-0.4.10-r1.apk2024-04-15 23:03 597K
[   ]lomiri-indicator-network-1.0.2-r0.apk2024-02-07 01:49 598K
[   ]gamja-1.0.0_beta9-r0.apk2023-11-26 23:35 599K
[   ]ocaml-erm_xml-0_git20211229-r2.apk2024-03-23 21:49 601K
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-03-23 21:49 603K
[   ]android-translation-layer-dbg-0_git20240527-r0.apk2024-05-28 12:54 603K
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2023-06-17 00:20 603K
[   ]moosefs-static-3.0.117-r1.apk2023-06-17 23:06 605K
[   ]mnemosyne-2.10.1-r1.apk2024-04-19 15:10 607K
[   ]ettercap-0.8.3.1-r2.apk2022-10-18 05:56 608K
[   ]ppl-dev-1.2-r1.apk2023-05-15 18:46 613K
[   ]postgresql-pg_later-0.0.14-r0.apk2024-01-31 02:38 613K
[   ]ocaml-gen-dev-1.1-r1.apk2024-03-23 21:49 614K
[   ]ocaml-notty-dev-0.2.3-r0.apk2024-03-23 21:49 615K
[   ]eva-0.3.1-r2.apk2023-05-24 16:03 615K
[   ]ocaml-ppx_sexp_conv-0.16.0-r0.apk2024-03-23 21:49 616K
[   ]py3-mitmproxy-rs-0.5.1-r0.apk2024-04-15 23:03 619K
[   ]create-tauri-app-4.0.0-r0.apk2024-05-23 16:16 620K
[   ]belle-sip-5.3.38-r0.apk2024-04-15 09:15 625K
[   ]font-chivo-mono-0_git20221110-r0.apk2022-12-09 23:19 626K
[   ]ocaml-amqp-client-2.3.0-r0.apk2024-04-22 08:13 627K
[   ]mnemosyne-pyc-2.10.1-r1.apk2024-04-19 15:10 628K
[   ]projectm-dev-3.1.12-r2.apk2024-05-08 15:01 629K
[   ]komikku-pyc-1.46.0-r0.apk2024-05-17 14:01 629K
[   ]py3-trimesh-3.22.1-r1.apk2024-04-15 23:03 630K
[   ]py3-cvxpy-1.2.1-r4.apk2024-04-15 23:03 630K
[   ]libretro-snes9x-0_git20220414-r0.apk2022-04-21 12:02 632K
[   ]pure-data-libs-0.54.1-r0.apk2023-11-12 18:45 634K
[   ]gutenprint-samples-5.3.4-r3.apk2023-05-21 19:06 636K
[   ]ocaml-ocp-indent-dev-1.8.2-r2.apk2024-03-23 21:49 638K
[   ]mkdocs-gitbook-0.0.1-r4.apk2024-04-15 23:03 639K
[   ]lumins-0.4.0-r2.apk2023-05-24 16:04 640K
[   ]libretro-bluemsx-0_git20220213-r0.apk2022-04-21 12:02 641K
[   ]bonzomatic-20230615-r0.apk2023-09-28 07:16 642K
[   ]dbus-waiter-0.2.0-r0.apk2023-10-29 13:12 645K
[   ]paperde-0.2.1-r1.apk2023-04-23 00:16 648K
[   ]sequoia-sqv-1.2.1-r0.apk2024-04-22 06:42 649K
[   ]mkdocs-cluster-0.0.9-r4.apk2024-04-15 23:03 649K
[   ]kondo-0.8-r0.apk2023-12-20 23:45 652K
[   ]font-material-icons-4.0.0-r0.apk2023-02-27 02:06 652K
[   ]libunicode-0.4.0-r0.apk2024-01-19 01:29 653K
[   ]py3-telegram-bot-pyc-20.8-r1.apk2024-04-15 23:03 654K
[   ]supermin-5.2.2-r2.apk2024-04-18 13:11 654K
[   ]ocaml-topkg-1.0.5-r2.apk2024-03-23 21:50 655K
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2023-06-17 00:20 656K
[   ]mepo-1.2.1-r0.apk2024-05-10 06:00 662K
[   ]libvmime-0.9.2.175-r0.apk2024-04-26 08:25 664K
[   ]perl-gtk2-doc-1.24993-r5.apk2023-07-04 00:52 666K
[   ]ocaml-extlib-1.7.9-r2.apk2024-03-23 21:49 667K
[   ]apk-tools3-dev-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 668K
[   ]bobcat-4.09.00-r0.apk2023-10-06 08:58 669K
[   ]libsemigroups-2.7.3-r0.apk2024-01-22 07:22 670K
[   ]gforth-0.7.3-r3.apk2021-10-15 04:20 673K
[   ]udpt-3.1.2-r0.apk2023-05-26 23:46 673K
[   ]py3-aioxmpp-pyc-0.13.3-r2.apk2024-04-15 23:03 673K
[   ]mono-dev-6.12.0.205-r1.apk2023-07-30 00:01 674K
[   ]firehol-doc-3.1.7-r2.apk2023-05-13 22:21 675K
[   ]ocaml-xmlm-1.4.0-r2.apk2024-03-23 21:50 676K
[   ]yaru-sounds-23.10.0-r0.apk2024-04-18 04:06 676K
[   ]netdiscover-0.10-r0.apk2023-09-30 01:01 680K
[   ]pitivi-lang-2023.03-r1.apk2024-04-16 17:49 680K
[   ]featherpad-1.5.1-r0.apk2024-05-10 02:48 680K
[   ]ocaml-higlo-dev-0.9-r0.apk2024-04-22 08:13 681K
[   ]libmdbx-0.11.8-r0.apk2022-07-02 06:10 684K
[   ]openvpn3-dev-3.8.5-r0.apk2024-05-20 09:06 686K
[   ]bordeaux-en_voices-0.8.1-r0.apk2024-02-26 22:07 687K
[   ]ocaml-biniou-1.2.1-r5.apk2024-03-23 21:49 688K
[   ]bobcat-dev-4.09.00-r0.apk2023-10-06 08:58 694K
[   ]ecasound-2.9.3-r3.apk2023-09-25 21:24 695K
[   ]fcitx5-bamboo-1.0.5-r1.apk2024-05-19 01:28 697K
[   ]ocaml-down-0.1.0-r3.apk2024-03-23 21:49 697K
[   ]grommunio-dav-2.0_git20240327-r2.apk2024-05-31 01:04 697K
[   ]pypykatz-pyc-0.6.9-r1.apk2024-04-15 23:03 698K
[   ]nextpnr-generic-0.6-r2.apk2024-04-22 19:58 703K
[   ]pitivi-pyc-2023.03-r1.apk2024-04-16 17:49 704K
[   ]apprise-pyc-1.7.6-r0.apk2024-04-16 01:35 705K
[   ]mpdris2-rs-0.2.3-r0.apk2024-03-05 22:05 708K
[   ]ocaml-qcheck-0.18.1-r3.apk2024-03-23 21:49 709K
[   ]ocaml-cstruct-dev-6.1.0-r3.apk2024-03-23 21:49 711K
[   ]ocaml-cohttp-5.3.1-r0.apk2024-04-22 08:13 715K
[   ]sing-geosite-20231212122459-r0.apk2023-12-13 18:19 715K
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r0.apk2023-11-06 18:37 716K
[   ]simp1e-cursors-solarized-0_git20211003-r0.apk2022-02-08 12:52 717K
[   ]sudo-ldap-1.9.14-r1.apk2023-07-30 00:01 718K
[   ]pacparser-1.4.3-r1.apk2024-04-15 23:03 719K
[   ]getting-things-gnome-0.6-r3.apk2024-04-15 23:03 723K
[   ]qtile-pyc-0.23.0-r1.apk2024-04-15 23:03 724K
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-04-15 09:15 729K
[   ]tsung-1.8.0-r2.apk2023-12-19 08:57 730K
[   ]py3-beartype-0.18.5-r0.apk2024-04-25 02:50 731K
[   ]hunspell-ca-es-3.0.7-r0.apk2022-12-04 13:25 731K
[   ]py3-trimesh-pyc-3.22.1-r1.apk2024-04-15 23:03 731K
[   ]castor-0.9.0-r2.apk2023-05-24 16:03 732K
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-03-23 21:49 733K
[   ]yaru-theme-mate-23.10.0-r0.apk2024-04-18 04:06 736K
[   ]py3-python-stdnum-1.19-r1.apk2024-04-15 23:03 737K
[   ]py3-i18naddress-3.1.0-r2.apk2024-04-15 23:03 738K
[   ]git-cola-pyc-4.4.1-r1.apk2024-04-15 23:03 739K
[   ]hdr10plus-tool-1.6.0-r0.apk2023-06-17 00:19 739K
[   ]zsh-histdb-skim-0.8.6-r0.apk2023-05-29 22:34 741K
[   ]simp1e-cursors-0_git20211003-r0.apk2022-02-08 12:52 741K
[   ]video-trimmer-0.8.2-r0.apk2023-10-09 16:53 742K
[   ]apache2-mod-perl-2.0.13-r0.apk2023-10-22 14:57 743K
[   ]typstfmt-0.2.7-r0.apk2024-01-25 00:53 743K
[   ]timeshift-lang-24.01.1-r0.apk2024-03-09 21:53 744K
[   ]dlib-19.24.4-r0.apk2024-04-02 11:39 745K
[   ]yaru-shell-23.10.0-r0.apk2024-04-18 04:06 746K
[   ]eww-dbg-0.4.0-r1.apk2023-05-24 16:03 746K
[   ]buildcache-0.28.9-r0.apk2024-01-30 16:17 747K
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-01-12 03:25 748K
[   ]responder-3.1.4.0-r0.apk2024-01-05 22:29 749K
[   ]freshrss-doc-1.23.1-r1.apk2024-03-18 07:42 751K
[   ]gedit-doc-47.0-r0.apk2024-05-27 07:44 752K
[   ]nicotine-plus-pyc-3.3.2-r1.apk2024-04-15 23:03 755K
[   ]rtw89-src-7_p20230725-r0.apk2023-07-26 08:22 759K
[   ]maxima-doc-5.47.0-r7.apk2024-04-19 08:06 761K
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-04-04 12:39 763K
[   ]twiggy-0.6.0-r3.apk2023-05-24 16:04 764K
[   ]httrack-3.49.2-r5.apk2023-05-15 18:45 765K
[   ]py3-livestream-2.0.0-r2.apk2024-04-17 04:54 767K
[   ]yaru-theme-purple-23.10.0-r0.apk2024-04-18 04:06 767K
[   ]yaru-theme-olive-23.10.0-r0.apk2024-04-18 04:06 769K
[   ]yaru-theme-prussiangreen-23.10.0-r0.apk2024-04-18 04:06 769K
[   ]yaru-theme-red-23.10.0-r0.apk2024-04-18 04:06 770K
[   ]yaru-theme-viridian-23.10.0-r0.apk2024-04-18 04:06 770K
[   ]faust-dev-2.60.3-r2.apk2023-07-03 00:01 771K
[   ]yaru-theme-magenta-23.10.0-r0.apk2024-04-18 04:06 772K
[   ]yaru-theme-bark-23.10.0-r0.apk2024-04-18 04:06 773K
[   ]dnssec-tools-2.2.3-r10.apk2023-07-08 03:17 773K
[   ]yaru-theme-sage-23.10.0-r0.apk2024-04-18 04:06 773K
[   ]ocaml-iri-dev-1.0.0-r0.apk2024-04-22 08:13 773K
[   ]grass-0.12.3-r1.apk2023-05-24 16:04 774K
[   ]yaru-theme-blue-23.10.0-r0.apk2024-04-18 04:06 776K
[   ]simp1e-cursors-dark-0_git20211003-r0.apk2022-02-08 12:52 780K
[   ]ocaml-xtmpl-dev-0.19.0-r0.apk2024-04-22 08:13 780K
[   ]azpainter-3.0.7-r0.apk2023-11-20 15:59 781K
[   ]ocaml-otoml-dev-1.0.5-r0.apk2024-04-22 08:13 783K
[   ]eiwd-2.16-r0.apk2024-03-20 21:07 785K
[   ]ocaml-uutf-1.0.3-r2.apk2024-03-23 21:50 786K
[   ]mkdocs-bootstrap386-0.0.2-r4.apk2024-04-15 23:03 789K
[   ]xboard-4.9.1-r2.apk2023-08-01 17:20 791K
[   ]hyprland-dev-0.40.0-r0.apk2024-05-21 23:52 791K
[   ]pyradio-pyc-0.9.3.4-r0.apk2024-05-04 15:42 792K
[   ]font-chivo-0_git20221110-r0.apk2022-12-09 23:19 792K
[   ]flann-1.9.1-r4.apk2022-07-26 07:59 794K
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2022-07-05 21:10 794K
[   ]ocaml-erm_xml-dev-0_git20211229-r2.apk2024-03-23 21:49 795K
[   ]otrs-doc-6.0.48-r1.apk2024-01-15 10:37 795K
[   ]kmscon-9.0.0-r0.apk2022-10-05 02:06 800K
[   ]php81-pecl-xhprof-assets-2.3.9-r3.apk2024-06-04 02:37 801K
[   ]powder-toy-97.0.352-r0.apk2023-05-06 22:12 802K
[   ]php81-pecl-mongodb-1.19.2-r0.apk2024-06-06 17:55 802K
[   ]cliphist-0.5.0-r3.apk2024-05-19 01:28 803K
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2023-10-19 18:09 804K
[   ]gutenprint-static-5.3.4-r3.apk2023-05-21 19:06 804K
[   ]libabigail-2.3-r0.apk2023-05-03 12:33 805K
[   ]simavr-dev-1.7-r1.apk2022-10-28 17:21 806K
[   ]pulumi-watch-0.1.5-r2.apk2023-05-24 16:04 806K
[   ]nmap-parse-output-doc-1.5.1-r0.apk2022-06-12 23:54 807K
[   ]gutenprint-5.3.4-r3.apk2023-05-21 19:05 810K
[   ]cherrytree-lang-1.1.2-r0.apk2024-04-10 01:13 812K
[   ]py3-pyglet-2.0.15-r0.apk2024-05-31 00:34 812K
[   ]weggli-0.2.4-r1.apk2023-05-24 16:04 813K
[   ]yices2-libs-2.6.4-r0.apk2023-02-10 07:28 813K
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-04-02 11:39 815K
[   ]volatility3-pyc-2.5.2-r1.apk2024-04-15 23:03 821K
[   ]cimg-3.3.5-r0.apk2024-03-14 18:18 825K
[   ]git-cola-4.4.1-r1.apk2024-04-15 23:03 826K
[   ]planner-lang-0.14.92-r0.apk2024-01-08 10:42 827K
[   ]geonames-0.3.1-r1.apk2024-04-17 17:31 827K
[   ]simp1e-cursors-snow-0_git20211003-r0.apk2022-02-08 12:52 828K
[   ]ocaml-sexplib-dev-0.16.0-r0.apk2024-03-23 21:50 830K
[   ]perl-gtk2-1.24993-r5.apk2023-07-04 00:52 830K
[   ]ocaml-alcotest-dev-1.5.0-r4.apk2024-04-22 08:13 831K
[   ]turn-rs-cli-2.1.3-r0.apk2024-04-18 16:02 834K
[   ]wget2-dbg-2.1.0-r0.apk2023-09-04 12:04 834K
[   ]leptosfmt-0.1.18-r0.apk2024-01-19 16:13 835K
[   ]font-fira-code-6.2-r0.apk2022-07-24 01:12 836K
[   ]advancemame-menu-3.9-r4.apk2023-03-16 02:55 837K
[   ]vixl-7.0.0-r0.apk2024-02-20 16:45 838K
[   ]mml-1.0.0-r0.apk2023-11-12 13:21 841K
[   ]liblinbox-dev-1.7.0-r3.apk2023-08-01 17:19 846K
[   ]font-katex-0.16.2-r0.apk2022-09-20 21:40 852K
[   ]hstdb-2.1.0-r2.apk2023-05-24 16:04 852K
[   ]yaru-theme-23.10.0-r0.apk2024-04-18 04:06 856K
[   ]gufw-lang-24.04-r1.apk2024-04-15 23:03 857K
[   ]simp1e-cursors-breeze-0_git20211003-r0.apk2022-02-08 12:52 857K
[   ]rezolus-2.11.1-r3.apk2023-05-24 16:04 857K
[   ]ttdl-4.3.0-r0.apk2024-05-13 09:58 860K
[   ]tealdeer-1.6.1-r2.apk2023-07-03 00:04 861K
[   ]cddlib-doc-0.94m-r2.apk2023-08-01 17:19 864K
[   ]qucs-s-lang-1.1.0-r1.apk2023-08-01 17:19 865K
[   ]silc-client-1.1.11-r16.apk2023-10-18 18:22 866K
[   ]agate-3.3.7-r0.apk2024-04-15 23:16 870K
[   ]gr-satellites-5.5.0-r1.apk2024-04-29 18:49 870K
[   ]py3-textual-pyc-0.47.1-r1.apk2024-04-15 23:03 871K
[   ]snapper-0.11.0-r0.apk2024-05-14 14:12 872K
[   ]libretro-parallel-n64-0_git20220406-r0.apk2022-04-21 12:02 872K
[   ]libretro-theodore-3.1-r0.apk2022-04-19 23:28 873K
[   ]rust-script-0.34.0-r0.apk2023-09-28 00:10 873K
[   ]sshsrv-1.0-r5.apk2024-05-19 01:29 873K
[   ]keepassxc-browser-1.8.9-r0.apk2023-11-06 22:35 876K
[   ]boinc-lang-7.24.3-r0.apk2024-02-22 02:03 877K
[   ]plib-1.8.5-r3.apk2023-10-30 01:36 878K
[   ]ocaml-ctypes-dev-0.20.1-r2.apk2024-03-23 21:49 878K
[   ]imgdiff-1.0.2-r19.apk2024-05-19 01:28 880K
[   ]py-spy-0.3.14-r3.apk2023-07-03 00:03 883K
[   ]gnu-apl-doc-1.8-r1.apk2024-03-14 18:19 883K
[   ]mitmproxy-pyc-10.2.0-r0.apk2024-04-15 23:03 883K
[   ]ocaml-x509-0.16.0-r2.apk2024-03-23 21:50 883K
[   ]draw-0.1.1-r6.apk2024-05-19 01:28 886K
[   ]ndpi-dev-4.8-r0.apk2023-10-24 08:35 887K
[   ]pyradio-0.9.3.4-r0.apk2024-05-04 15:42 888K
[   ]libretro-fuse-0_git20220417-r0.apk2022-04-21 12:02 890K
[   ]nixpacks-0.1.7-r1.apk2023-05-24 16:04 891K
[   ]lomiri-content-hub-doc-1.1.1-r0.apk2024-02-10 14:53 894K
[   ]py3-mbedtls-2.10.1-r1.apk2024-04-28 21:56 895K
[   ]repowerd-2023.07-r1.apk2024-05-07 22:17 896K
[   ]exabgp-pyc-4.2.21-r4.apk2024-04-15 23:03 897K
[   ]greetd-regreet-0.1.1-r0.apk2023-06-17 00:19 898K
[   ]py3-onelogin-pyc-3.1.6-r1.apk2024-04-15 23:03 900K
[   ]fulcrum-1.9.8-r0.apk2024-02-12 23:45 901K
[   ]pari-doc-2.15.4-r0.apk2023-07-11 05:35 904K
[   ]homebank-lang-5.7.4-r0.apk2024-02-18 21:23 906K
[   ]php81-pecl-swoole-5.1.3-r0.apk2024-06-06 18:23 907K
[   ]kodi-pvr-iptvsimple-20.11.0-r0.apk2023-09-05 20:42 909K
[   ]rosenpass-0.2.1-r0.apk2023-11-22 17:24 910K
[   ]laze-0.1.21-r0.apk2024-02-13 23:22 912K
[   ]ocaml-menhir-dev-20220210-r2.apk2024-03-23 21:49 914K
[   ]ocaml-lablgtk3-extras-3.0.1-r2.apk2024-03-23 21:49 914K
[   ]pulseview-0.4.2-r8.apk2024-04-22 19:58 916K
[   ]jwt-cli-6.0.0-r0.apk2023-07-16 06:27 917K
[   ]barrier-2.4.0-r1.apk2022-08-04 23:45 917K
[   ]ddnrs-0.3.0-r0.apk2024-05-13 09:58 919K
[   ]sc-controller-pyc-0.4.8.13-r0.apk2024-02-03 22:28 922K
[   ]dovi-tool-2.0.3-r0.apk2023-06-17 00:18 922K
[ARC]APKINDEX.tar.gz2024-06-06 22:53 931K
[   ]tomcat9-doc-9.0.89-r0.apk2024-05-08 14:19 932K
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2023.12-r4.apk2024-04-15 23:03 934K
[   ]asteroid-launcher-dbg-2.0.0-r0.apk2023-08-31 11:41 934K
[   ]gloox-dev-1.0.28-r0.apk2023-11-24 01:24 936K
[   ]py3-cvxpy-pyc-1.2.1-r4.apk2024-04-15 23:03 936K
[   ]ocaml-mirage-crypto-0.10.6-r3.apk2024-03-23 21:49 939K
[   ]please-0.4.2-r2.apk2023-05-24 16:04 939K
[   ]hikari-2.3.3-r6.apk2024-02-02 22:16 941K
[   ]swi-prolog-xpce-9.2.5-r0.apk2024-05-31 15:30 942K
[   ]mkdocs-windmill-1.0.5-r3.apk2024-04-15 23:03 943K
[   ]dotenv-linter-3.3.0-r1.apk2023-05-24 16:03 944K
[   ]ocaml-tsdl-1.0.0-r0.apk2024-04-22 08:13 946K
[   ]qsstv-9.5.8-r2.apk2023-03-18 22:44 947K
[   ]halp-0.1.7-r1.apk2023-07-03 00:02 950K
[   ]php81-dev-8.1.29-r0.apk2024-06-06 22:04 951K
[   ]rustypaste-cli-0.9.0-r0.apk2024-03-27 23:55 952K
[   ]kannel-dev-1.5.0-r11.apk2023-04-30 23:30 952K
[   ]duf-0.8.1-r19.apk2024-05-19 01:28 953K
[   ]komikku-1.46.0-r0.apk2024-05-17 14:01 956K
[   ]wpaperd-0.3.0-r2.apk2023-07-03 00:04 958K
[   ]stardict-3.0.6-r6.apk2023-04-30 23:31 961K
[   ]ocaml-parsexp-dev-0.16.0-r0.apk2024-03-23 21:49 964K
[   ]xendmail-0.4.3-r0.apk2024-03-26 12:53 965K
[   ]grpc-health-check-0.1.1-r3.apk2023-05-24 16:04 965K
[   ]ocaml-ctypes-0.20.1-r2.apk2024-03-23 21:49 966K
[   ]apprise-1.7.6-r0.apk2024-04-16 01:35 970K
[   ]hwatch-0.3.11-r0.apk2024-03-18 01:13 971K
[   ]postgresql-pg_partman-5.0.0-r0.apk2023-12-17 23:58 971K
[   ]xed-doc-3.4.5-r0.apk2024-01-08 10:43 1.0M
[   ]openjdk22-jre-22.0.1_p8-r2.apk2024-04-26 02:12 1.0M
[   ]wroomd-0.1.0-r0.apk2023-10-06 07:49 1.0M
[   ]lomiri-trust-store-2.0.2-r1.apk2024-05-19 11:53 1.0M
[   ]ol-2.4-r0.apk2023-03-28 09:46 1.0M
[   ]tere-1.5.1-r0.apk2023-08-26 18:38 1.0M
[   ]flann-dev-1.9.1-r4.apk2022-07-26 07:59 1.0M
[   ]ocaml-re-dev-1.11.0-r1.apk2024-03-23 21:49 1.0M
[   ]numbat-1.9.0-r0.apk2024-02-06 04:37 1.0M
[   ]lomiri-telephony-service-0.5.3-r0.apk2024-02-07 01:49 1.0M
[   ]gosu-1.17-r3.apk2024-05-19 01:28 1.0M
[   ]boinc-gui-7.24.3-r0.apk2024-02-22 02:03 1.0M
[   ]wlroots0.12-dbg-0.12.0-r1.apk2022-09-02 20:54 1.0M
[   ]kbs2-0.7.2-r3.apk2023-07-30 00:01 1.0M
[   ]milkytracker-1.04.00-r2.apk2024-03-23 20:25 1.0M
[   ]font-comic-neue-doc-2.51-r0.apk2021-02-18 19:21 1.0M
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-01-12 03:25 1.0M
[   ]fox-1.6.57-r0.apk2022-08-08 12:58 1.0M
[   ]lomiri-system-settings-1.1.0-r0.apk2024-03-15 23:56 1.0M
[   ]qpdfview-0.5-r0.apk2023-02-13 15:20 1.0M
[   ]xonsh-pyc-0.16.0-r0.apk2024-05-06 23:24 1.0M
[   ]oh-my-zsh-0_git20220104-r1.apk2023-02-10 01:13 1.0M
[   ]horust-0.1.7-r1.apk2023-05-24 16:04 1.0M
[   ]dune-deps-1.3.0-r2.apk2024-03-23 21:49 1.0M
[   ]ocaml-lwd-dev-0.3-r0.apk2024-03-23 21:49 1.0M
[   ]up-0.4-r22.apk2024-06-03 08:55 1.0M
[   ]limnoria-20220927-r3.apk2024-04-15 23:03 1.0M
[   ]eclib-libs-20231212-r1.apk2024-04-22 19:58 1.0M
[   ]firewalld-2.1.2-r0.apk2024-04-15 09:15 1.0M
[   ]pest-language-server-0.3.9-r0.apk2024-04-08 22:14 1.0M
[   ]nzbget-21.1-r2.apk2023-04-30 23:30 1.0M
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2023-05-05 09:16 1.0M
[   ]libntl-11.5.1-r3.apk2023-08-01 17:19 1.0M
[   ]kismet-logtools-0.202307.1-r2.apk2023-11-15 17:49 1.0M
[   ]wireguard-go-0.0.20230223-r5.apk2024-05-19 01:29 1.0M
[   ]rdedup-3.2.1-r4.apk2023-10-22 06:19 1.0M
[   ]ocaml-amqp-client-dev-2.3.0-r0.apk2024-04-22 08:13 1.0M
[   ]brial-1.2.11-r3.apk2024-04-22 19:57 1.0M
[   ]libcrypto1.1-1.1.1w-r0.apk2023-09-12 13:26 1.0M
[   ]sturmreader-3.7.2-r0.apk2023-10-22 10:34 1.0M
[   ]lazymc-0.2.11-r0.apk2024-03-24 15:48 1.0M
[   ]yaru-icon-theme-bark-23.10.0-r0.apk2024-04-18 04:06 1.0M
[   ]swi-prolog-xpce-doc-9.2.5-r0.apk2024-05-31 15:30 1.0M
[   ]quodlibet-4.6.0-r1.apk2024-04-15 23:03 1.0M
[   ]go-mtpfs-1.0.0-r20.apk2024-05-19 01:28 1.1M
[   ]qflipper-gui-1.3.3-r0.apk2023-11-16 00:42 1.1M
[   ]lizardfs-master-3.13.0-r13.apk2024-04-22 19:58 1.1M
[   ]ginac-1.8.7-r1.apk2024-01-28 17:21 1.1M
[   ]ocaml-ounit-dev-2.2.7-r3.apk2024-03-23 21:49 1.1M
[   ]yaru-icon-theme-olive-23.10.0-r0.apk2024-04-18 04:06 1.1M
[   ]turn-rs-2.1.3-r0.apk2024-04-18 16:02 1.1M
[   ]yaru-icon-theme-magenta-23.10.0-r0.apk2024-04-18 04:06 1.1M
[   ]cluster-glue-dev-1.0.12-r5.apk2023-04-30 23:30 1.1M
[   ]keydb-6.3.4-r0.apk2024-05-25 22:53 1.1M
[   ]wget2-dev-2.1.0-r0.apk2023-09-04 12:04 1.1M
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-01-12 03:25 1.1M
[   ]py3-aiosmb-pyc-0.4.10-r1.apk2024-04-15 23:03 1.1M
[   ]gearman-dev-1.1.21-r1.apk2024-04-22 19:58 1.1M
[   ]libmysofa-tools-1.3.2-r0.apk2023-11-06 18:37 1.1M
[   ]yaru-icon-theme-viridian-23.10.0-r0.apk2024-04-18 04:06 1.1M
[   ]yaru-icon-theme-prussiangreen-23.10.0-r0.apk2024-04-18 04:06 1.1M
[   ]watchbind-0.2.1-r0.apk2024-01-22 12:30 1.1M
[   ]megazeux-2.93-r0.apk2024-01-19 02:35 1.1M
[   ]ocaml-yojson-2.1.2-r0.apk2024-03-23 21:50 1.1M
[   ]cutechess-1.3.1-r0.apk2023-09-24 20:36 1.1M
[   ]xed-3.4.5-r0.apk2024-01-08 10:43 1.1M
[   ]ouch-0.5.1-r0.apk2023-12-24 18:13 1.1M
[   ]yaru-icon-theme-blue-23.10.0-r0.apk2024-04-18 04:06 1.1M
[   ]yaru-icon-theme-sage-23.10.0-r0.apk2024-04-18 04:06 1.1M
[   ]corectrl-1.3.10-r0.apk2024-02-05 06:54 1.1M
[   ]gnu-apl-1.8-r1.apk2024-03-14 18:19 1.1M
[   ]lsd-1.1.1-r0.apk2024-03-26 00:18 1.1M
[   ]py3-litex-hub-modules-pyc-2023.12-r4.apk2024-04-15 23:03 1.1M
[   ]yaru-icon-theme-purple-23.10.0-r0.apk2024-04-18 04:06 1.1M
[   ]filite-0.3.0-r2.apk2023-05-24 16:03 1.1M
[   ]libigraph-0.10.12-r0.apk2024-05-13 09:58 1.1M
[   ]dewduct-0.2.2-r0.apk2024-05-31 12:59 1.1M
[   ]yaru-icon-theme-red-23.10.0-r0.apk2024-04-18 04:06 1.1M
[   ]tailspin-3.0.0-r0.apk2024-02-03 23:34 1.1M
[   ]tartube-pyc-2.5.0-r0.apk2024-01-12 01:42 1.1M
[   ]speedcrunch-0.12-r3.apk2023-02-16 07:37 1.1M
[   ]cargo-machete-0.6.2-r0.apk2024-03-24 15:48 1.1M
[   ]makeclapman-2.4.1-r1.apk2024-05-19 01:28 1.1M
[   ]circuslinux-data-1.0.3-r1.apk2021-12-05 02:04 1.1M
[   ]ocaml-markup-1.0.3-r3.apk2024-03-23 21:49 1.1M
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-03-23 21:50 1.1M
[   ]poke-4.1-r0.apk2024-06-02 07:31 1.1M
[   ]ocfs2-tools-1.8.7-r2.apk2023-05-15 18:46 1.1M
[   ]flawz-0.2.1-r0.apk2024-06-02 22:57 1.1M
[   ]py3-sphinx-theme-bootstrap-0.8.1-r3.apk2024-04-15 23:03 1.2M
[   ]rime-ls-0.3.0-r0.apk2024-05-08 14:19 1.2M
[   ]ecasound-dev-2.9.3-r3.apk2023-09-25 21:24 1.2M
[   ]ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk2024-03-23 21:49 1.2M
[   ]limnoria-pyc-20220927-r3.apk2024-04-15 23:03 1.2M
[   ]orage-lang-4.18.0-r0.apk2023-02-24 00:04 1.2M
[   ]anki-pyc-24.04.1-r0.apk2024-05-13 09:58 1.2M
[   ]repgrep-0.15.0-r0.apk2024-01-04 20:38 1.2M
[   ]zls-0.12.0-r0.apk2024-04-28 00:45 1.2M
[   ]py3-nikola-8.3.0-r2.apk2024-04-15 23:03 1.2M
[   ]elementary-photos-2.8.0-r1.apk2023-04-30 23:30 1.2M
[   ]jaq-1.3.0-r0.apk2024-02-05 07:02 1.2M
[   ]mypaint-pyc-2.0.1-r0.apk2023-04-23 03:05 1.2M
[   ]sqlmap-pyc-1.8.5-r0.apk2024-05-28 21:04 1.2M
[   ]electron-tasje-0.7.3-r0.apk2024-05-23 04:26 1.2M
[   ]quodlibet-lang-4.6.0-r1.apk2024-04-15 23:03 1.2M
[   ]nicotine-plus-3.3.2-r1.apk2024-04-15 23:03 1.2M
[   ]listenbrainz-mpd-2.3.7-r0.apk2024-06-05 19:57 1.2M
[   ]cln-dev-1.3.7-r0.apk2024-01-28 17:21 1.2M
[   ]pulsar-client-cpp-3.1.2-r4.apk2024-04-22 19:58 1.2M
[   ]mypaint-lang-2.0.1-r0.apk2023-04-23 03:05 1.2M
[   ]py3-onnxruntime-pyc-1.18.0-r0.apk2024-06-06 17:29 1.2M
[   ]hctl-0.2.6-r0.apk2024-03-04 23:30 1.2M
[   ]prjtrellis-1.4-r2.apk2024-04-22 19:58 1.2M
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-04-15 23:03 1.2M
[   ]wlroots0.15-dbg-0.15.1-r6.apk2023-07-03 18:36 1.2M
[   ]compiz-lang-0.9.14.2-r5.apk2024-05-20 09:34 1.2M
[   ]emulationstation-2.11.2-r1.apk2024-05-21 18:14 1.2M
[   ]kodi-inputstream-adaptive-20.3.12-r0.apk2023-09-05 19:23 1.2M
[   ]trafficserver9-utils-9.2.4-r0.apk2024-04-05 15:43 1.2M
[   ]dstask-0.26-r7.apk2024-05-19 01:28 1.2M
[   ]libmanticore-columnar-2.2.4-r0.apk2024-04-27 16:31 1.2M
[   ]beancount-language-server-1.3.4-r0.apk2024-02-06 00:53 1.2M
[   ]pegasus-frontend-13_alpha-r2.apk2023-05-05 04:35 1.2M
[   ]nextpnr-gowin-0.6-r2.apk2024-04-22 19:58 1.2M
[   ]goxel-0.12.0-r0.apk2023-04-03 04:49 1.2M
[   ]noson-app-5.4.1-r1.apk2023-08-28 14:02 1.2M
[   ]ocaml-uucp-dev-14.0.0-r2.apk2024-03-23 21:50 1.2M
[   ]noggin-0.1-r8.apk2024-05-19 01:28 1.2M
[   ]fpc-doc-3.2.2-r2.apk2022-08-07 17:35 1.2M
[   ]radio-cli-2.3.1-r0.apk2024-01-25 21:23 1.2M
[   ]octoprint-pyc-1.10.1-r0.apk2024-05-17 01:59 1.2M
[   ]yaru-icon-theme-mate-23.10.0-r0.apk2024-04-18 04:06 1.2M
[   ]htslib-tools-1.19-r0.apk2023-12-12 18:44 1.2M
[   ]librespot-0.4.2-r4.apk2023-08-01 06:55 1.2M
[   ]baikal-0.9.5-r0.apk2024-03-20 22:45 1.3M
[   ]ocaml-lwt-5.7.0-r0.apk2024-03-23 21:49 1.3M
[   ]youtube-tui-0.8.0-r0.apk2023-10-26 15:55 1.3M
[   ]gst-plugins-rs-tools-0.12.4-r0.apk2024-04-10 17:32 1.3M
[   ]swig3-3.0.12-r3.apk2024-04-22 19:58 1.3M
[   ]legume-1.4.2-r2.apk2024-05-19 01:28 1.3M
[   ]gimp-plugin-gmic-3.3.5-r0.apk2024-04-26 15:52 1.3M
[   ]font-raleway-otf-4.101-r1.apk2021-11-22 15:08 1.3M
[   ]libppl_c-1.2-r1.apk2023-05-15 18:46 1.3M
[   ]libabigail-dev-2.3-r0.apk2023-05-03 12:33 1.3M
[   ]py3-pyglm-2.7.1-r0.apk2023-10-31 12:12 1.3M
[   ]elementary-photos-lang-2.8.0-r1.apk2023-04-30 23:30 1.3M
[   ]kgraphviewer-2.5.0-r0.apk2024-05-08 19:44 1.3M
[   ]ckb-next-0.6.0-r1.apk2023-07-19 21:10 1.3M
[   ]mailtutan-0.3.0-r0.apk2023-10-02 11:14 1.3M
[   ]ijq-1.1.0-r1.apk2024-05-19 01:28 1.3M
[   ]mapserver-8.0.1-r4.apk2024-05-19 01:28 1.3M
[   ]ocaml-extlib-dev-1.7.9-r2.apk2024-03-23 21:49 1.3M
[   ]firecracker-1.4.1-r0.apk2023-10-03 21:10 1.3M
[   ]singular-doc-4.3.2-r2.apk2023-08-01 17:19 1.3M
[   ]ocaml-cohttp-dev-5.3.1-r0.apk2024-04-22 08:13 1.3M
[   ]primesieve-dev-12.3-r0.apk2024-05-01 19:11 1.3M
[   ]ocaml-tcpip-7.1.2-r3.apk2024-03-23 21:50 1.3M
[   ]ocaml-tls-0.15.3-r4.apk2024-03-23 21:50 1.3M
[   ]ocaml-ppx_deriving-dev-5.3.0-r0.apk2024-03-23 21:49 1.3M
[   ]lomiri-ui-toolkit-1.3.5100-r0.apk2024-03-23 01:01 1.3M
[   ]dcmtk-3.6.8-r0.apk2024-01-13 23:53 1.3M
[   ]asymptote-2.86-r0.apk2023-08-20 15:37 1.3M
[   ]gpsbabel-1.8.0-r5.apk2023-12-31 17:23 1.3M
[   ]kodi-game-libretro-mame2003-0.78.0.54-r0.apk2023-07-03 00:03 1.3M
[   ]xfe-1.46.1-r0.apk2024-03-05 01:48 1.3M
[   ]rathole-0.5.0-r0.apk2023-10-05 22:27 1.3M
[   ]genact-1.4.2-r0.apk2024-02-20 22:50 1.3M
[   ]lowjs-1.6.2-r2.apk2024-04-15 09:15 1.3M
[   ]gtksourceviewmm3-doc-3.21.3-r2.apk2023-04-14 16:16 1.3M
[   ]sblg-doc-0.5.11-r0.apk2023-02-06 20:33 1.3M
[   ]cargo-update-13.4.0-r0.apk2024-05-04 15:41 1.3M
[   ]xcaddy-0.4.2-r0.apk2024-05-30 06:25 1.3M
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-03-23 21:49 1.4M
[   ]mage-1.13.0-r16.apk2024-05-19 01:28 1.4M
[   ]drogon-1.9.4-r0.apk2024-05-05 23:25 1.4M
[   ]ocaml-uunf-14.0.0-r2.apk2024-03-23 21:50 1.4M
[   ]fcitx5-table-other-5.1.2-r0.apk2024-05-10 05:34 1.4M
[   ]ocaml-camomile-1.0.2-r3.apk2024-03-23 21:49 1.4M
[   ]dart-sass-1.77.4-r0.apk2024-05-31 13:20 1.4M
[   ]ocaml-erm_xmpp-0_git20220404-r2.apk2024-03-23 21:49 1.4M
[   ]sydbox-3.18.4-r0.apk2024-05-08 19:55 1.4M
[   ]freetube-0.20.0-r1.apk2024-04-27 16:28 1.4M
[   ]lout-3.42.2-r0.apk2023-06-17 00:20 1.4M
[   ]hfst-3.16.0-r2.apk2024-04-15 23:03 1.4M
[   ]dartaotruntime-3.3.2-r0.apk2024-03-22 00:13 1.4M
[   ]mesa-asahi-xatracker-24.0.0_pre20240527-r0.apk2024-05-29 00:31 1.4M
[   ]eboard-1.1.3-r1.apk2023-07-30 00:00 1.4M
[   ]wl-gammarelay-0.1.1-r7.apk2024-05-19 01:29 1.4M
[   ]tartube-2.5.0-r0.apk2024-01-12 01:42 1.4M
[   ]fheroes2-1.0.13-r0.apk2024-03-16 22:34 1.4M
[   ]gtksourceviewmm4-doc-3.91.1-r2.apk2023-04-14 16:16 1.4M
[   ]nwg-bar-0.1.6-r3.apk2024-05-19 01:28 1.4M
[   ]piping-server-0.18.0-r0.apk2024-05-05 12:19 1.4M
[   ]spike-1.1.0-r0.apk2023-03-28 19:01 1.4M
[   ]fheroes2-lang-1.0.13-r0.apk2024-03-16 22:34 1.4M
[   ]ocaml-obuild-0.1.11-r0.apk2024-03-23 21:49 1.4M
[   ]mame-lang-0.251-r0.apk2023-02-22 12:49 1.4M
[   ]lizardfs-client-3.13.0-r13.apk2024-04-22 19:58 1.4M
[   ]pomo-0.8.1-r16.apk2024-05-19 01:28 1.4M
[   ]keystone-0.9.2-r6.apk2024-04-15 23:03 1.4M
[   ]mailutils-mh-3.17-r0.apk2024-01-19 19:21 1.4M
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2022-04-21 12:02 1.4M
[   ]strfry-0.9.6-r0.apk2024-01-25 18:00 1.4M
[   ]youki-0.3.1-r1.apk2024-06-03 20:44 1.5M
[   ]raspberrypi-usbboot-20210701-r2.apk2023-02-03 15:48 1.5M
[   ]py3-pyglet-pyc-2.0.15-r0.apk2024-05-31 00:34 1.5M
[   ]libsemigroups-static-2.7.3-r0.apk2024-01-22 07:22 1.5M
[   ]oil-0.21.0-r0.apk2024-03-16 00:09 1.5M
[   ]py3-tokenizers-0.15.2-r1.apk2024-04-15 23:03 1.5M
[   ]mkcert-1.4.4-r12.apk2024-05-19 01:28 1.5M
[   ]py3-pysequoia-0.1.20-r2.apk2024-04-17 04:54 1.5M
[   ]chamo-byte-4.0-r0.apk2024-04-22 08:13 1.5M
[   ]font-andika-6.200-r0.apk2024-04-28 21:56 1.5M
[   ]mpv-sponsorblock-2.1.0-r0.apk2024-03-05 00:55 1.5M
[   ]libarb-2.23.0-r2.apk2023-08-01 17:19 1.5M
[   ]drawpile-server-2.2.1-r1.apk2024-05-29 19:41 1.5M
[   ]eww-0.4.0-r1.apk2023-05-24 16:03 1.5M
[   ]wiki-tui-0.8.2-r0.apk2023-08-12 07:59 1.5M
[   ]ocaml-labltk-dev-8.06.12-r2.apk2024-03-23 21:49 1.5M
[   ]mitmproxy-10.2.0-r0.apk2024-04-15 23:03 1.5M
[   ]ocp-indent-1.8.2-r2.apk2024-03-23 21:50 1.5M
[   ]gfan-0.6.2-r1.apk2023-08-01 17:19 1.5M
[   ]freshrss-themes-1.23.1-r1.apk2024-03-18 07:42 1.5M
[   ]perl-minion-10.30-r0.apk2024-06-05 19:55 1.5M
[   ]rustscan-2.2.3-r0.apk2024-05-13 09:58 1.5M
[   ]nwg-dock-0.3.9-r4.apk2024-05-19 01:28 1.5M
[   ]xsane-0.999-r1.apk2022-12-16 08:48 1.5M
[   ]ndpi-4.8-r0.apk2023-10-24 08:35 1.5M
[   ]freshrss-1.23.1-r1.apk2024-03-18 07:42 1.5M
[   ]ffsend-0.2.76-r4.apk2023-07-03 00:01 1.5M
[   ]boinc-7.24.3-r0.apk2024-02-22 02:03 1.5M
[   ]ocaml-uri-4.2.0-r2.apk2024-03-23 21:50 1.5M
[   ]cloud-hypervisor-39.0-r0.apk2024-05-01 19:03 1.5M
[   ]so-0.4.9-r1.apk2023-05-24 16:04 1.5M
[   ]seastar-22.11.0_git20240315-r3.apk2024-05-19 12:03 1.5M
[   ]ocaml-lablgtk3-extras-dev-3.0.1-r2.apk2024-03-23 21:49 1.5M
[   ]pcl-dev-1.14.0-r1.apk2024-04-22 19:58 1.5M
[   ]kjv-0_git20221103-r0.apk2023-09-25 06:50 1.5M
[   ]qgis-grass-3.28.12-r6.apk2024-05-19 01:28 1.5M
[   ]openocd-riscv-0_git20230104-r1.apk2023-05-03 13:10 1.5M
[   ]siril-lang-1.2.1-r2.apk2024-03-05 01:48 1.5M
[   ]ocaml-sedlex-dev-3.2-r0.apk2024-03-23 21:50 1.5M
[   ]ocaml-x509-dev-0.16.0-r2.apk2024-03-23 21:50 1.6M
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2023-06-17 00:20 1.6M
[   ]xmpp-dns-0.2.4-r17.apk2024-05-19 01:29 1.6M
[   ]voikko-fi-2.5-r0.apk2023-06-17 00:22 1.6M
[   ]igrep-1.2.0-r0.apk2023-08-09 17:40 1.6M
[   ]font-raleway-ttf-4.101-r1.apk2021-11-22 15:08 1.6M
[   ]amule-lang-2.3.3-r13.apk2024-04-22 19:57 1.6M
[   ]armagetronad-0.2.9.1.1-r0.apk2024-02-13 00:10 1.6M
[   ]zydis-doc-4.1.0-r0.apk2024-04-06 00:35 1.6M
[   ]lutgen-0.10.1-r0.apk2024-06-01 15:18 1.6M
[   ]antibody-6.1.1-r21.apk2024-05-19 01:28 1.6M
[   ]runst-0.1.7-r0.apk2024-03-27 02:09 1.6M
[   ]scap-workbench-doc-1.2.1-r2.apk2023-02-13 15:23 1.6M
[   ]aqemu-0.9.4-r3.apk2023-04-08 12:52 1.6M
[   ]gmic-qt-3.3.5-r0.apk2024-04-26 15:52 1.6M
[   ]yubikey-agent-0.1.6-r5.apk2024-05-19 01:29 1.6M
[   ]py3-falcon-3.1.3-r0.apk2024-05-27 04:18 1.6M
[   ]libntl-static-11.5.1-r3.apk2023-08-01 17:19 1.6M
[   ]chim-1.1.2-r1.apk2023-05-24 16:03 1.6M
[   ]seed7-doc-05.20240322-r0.apk2024-03-24 14:17 1.6M
[   ]qgis-server-3.28.12-r6.apk2024-05-19 01:28 1.6M
[   ]hyprland-0.40.0-r0.apk2024-05-21 23:52 1.6M
[   ]apmpkg-1.5.1-r3.apk2023-07-03 00:00 1.6M
[   ]keystone-python-0.9.2-r6.apk2024-04-15 23:03 1.6M
[   ]libretro-flycast-0_git20220406-r0.apk2022-04-21 12:02 1.6M
[   ]empede-0.2.3-r0.apk2024-01-07 02:48 1.6M
[   ]hfst-libs-3.16.0-r2.apk2024-04-15 23:03 1.6M
[   ]visurf-0.0.0_git20220301-r1.apk2022-08-04 10:49 1.6M
[   ]watershot-0.2.0-r0.apk2023-07-04 00:52 1.6M
[   ]dcmtk-dev-3.6.8-r0.apk2024-01-13 23:53 1.6M
[   ]nil-2023.08.09-r0.apk2024-04-18 15:46 1.7M
[   ]fcitx5-chinese-addons-5.1.5-r0.apk2024-05-10 05:34 1.7M
[   ]asahi-audio-2.1-r0.apk2024-05-03 10:36 1.7M
[   ]openocd-git-0_git20240113-r0.apk2024-01-17 09:37 1.7M
[   ]ocaml-erm_xmpp-dev-0_git20220404-r2.apk2024-03-23 21:49 1.7M
[   ]sydbox-oci-3.18.4-r0.apk2024-05-08 19:55 1.7M
[   ]ocaml-yojson-dev-2.1.2-r0.apk2024-03-23 21:50 1.7M
[   ]noggin-model-lightweight-0.1-r0.apk2023-06-17 00:20 1.7M
[   ]protoc-gen-go-1.34.0-r1.apk2024-05-19 01:28 1.7M
[   ]brial-dev-1.2.11-r3.apk2024-04-22 19:57 1.7M
[   ]pure-data-0.54.1-r0.apk2023-11-12 18:45 1.7M
[   ]mir-2.15.0-r1.apk2024-04-22 19:58 1.7M
[   ]fox-dev-1.6.57-r0.apk2022-08-08 12:58 1.7M
[   ]materia-kde-plasma-20220823-r0.apk2023-03-19 23:40 1.7M
[   ]fplll-strategies-5.4.5-r0.apk2023-10-22 10:04 1.7M
[   ]simgear-2020.3.19-r1.apk2024-04-22 19:58 1.7M
[   ]glslviewer-3.2.4-r0.apk2023-11-13 22:08 1.7M
[   ]asteroid-launcher-2.0.0-r0.apk2023-08-31 11:41 1.7M
[   ]daktilo-0.6.0-r0.apk2024-04-11 12:47 1.7M
[   ]libmedc-python-4.1.1-r3.apk2024-05-04 15:42 1.7M
[   ]codeberg-cli-0.4.0-r0.apk2024-05-03 01:34 1.7M
[   ]php81-embed-8.1.29-r0.apk2024-06-06 22:04 1.8M
[   ]php81-apache2-8.1.29-r0.apk2024-06-06 22:04 1.8M
[   ]openocd-esp32-0_git20230921-r4.apk2023-12-06 07:54 1.8M
[   ]php81-cgi-8.1.29-r0.apk2024-06-06 22:04 1.8M
[   ]fava-1.26.4-r0.apk2024-01-19 23:43 1.8M
[   ]percona-toolkit-3.5.4-r0.apk2023-08-13 18:27 1.8M
[   ]trippy-0.10.0-r0.apk2024-04-06 00:45 1.8M
[   ]chocolate-doom-3.0.1-r3.apk2023-02-13 15:20 1.8M
[   ]php81-litespeed-8.1.29-r0.apk2024-06-06 22:04 1.8M
[   ]grcov-0.8.19-r1.apk2023-09-11 16:51 1.8M
[   ]spotify-tui-0.25.0-r2.apk2023-05-24 16:04 1.8M
[   ]eludris-0.3.3-r1.apk2023-06-17 00:19 1.8M
[   ]php81-8.1.29-r0.apk2024-06-06 22:04 1.8M
[   ]psi-plus-plugins-1.5.1653-r0.apk2024-02-24 00:04 1.8M
[   ]c2rust-0.18.0-r1.apk2024-01-19 19:50 1.8M
[   ]py3-litex-hub-pythondata-cpu-ibex-2023.12-r4.apk2024-04-15 23:03 1.8M
[   ]edward-1.0.1-r1.apk2023-12-18 16:21 1.8M
[   ]ergo-ldap-0.0.1-r10.apk2024-05-19 01:28 1.8M
[   ]srb2-2.2.13-r0.apk2023-11-22 17:24 1.8M
[   ]quodlibet-pyc-4.6.0-r1.apk2024-04-15 23:03 1.8M
[   ]satellite-1.0.0-r21.apk2024-05-19 01:29 1.8M
[   ]homebank-5.7.4-r0.apk2024-02-18 21:23 1.8M
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2023-06-17 00:20 1.8M
[   ]ocaml-uuseg-tools-14.0.0-r2.apk2024-03-23 21:50 1.8M
[   ]convert2json-0.8.2-r1.apk2024-05-22 09:40 1.8M
[   ]php81-phpdbg-8.1.29-r0.apk2024-06-06 22:04 1.8M
[   ]php81-fpm-8.1.29-r0.apk2024-06-06 22:04 1.8M
[   ]libwasmtime-18.0.3-r0.apk2024-03-16 22:54 1.8M
[   ]tui-journal-0.8.0-r0.apk2024-02-10 05:41 1.8M
[   ]tintin-2.02.31-r0.apk2023-08-26 13:00 1.8M
[   ]railway-2.5.0-r0.apk2024-05-03 21:46 1.8M
[   ]crispy-doom-6.0-r0.apk2023-04-01 00:55 1.9M
[   ]gobang-0.1.0_alpha5-r1.apk2023-05-24 16:04 1.9M
[   ]ocaml-iri-1.0.0-r0.apk2024-04-22 08:13 1.9M
[   ]ocaml-ca-certs-nss-tools-3.89.1-r1.apk2024-03-23 21:49 1.9M
[   ]gutenprint-lang-5.3.4-r3.apk2023-05-21 19:06 1.9M
[   ]efl-dev-1.27.0-r1.apk2024-04-12 01:37 1.9M
[   ]pure-data-doc-0.54.1-r0.apk2023-11-12 18:45 1.9M
[   ]hitide-0.15.0-r0.apk2024-03-30 07:39 1.9M
[   ]sc-controller-0.4.8.13-r0.apk2024-02-03 22:28 1.9M
[   ]cadence-0.9.2-r0.apk2022-10-09 17:22 1.9M
[   ]calibre-doc-7.12.0-r0.apk2024-06-01 04:33 1.9M
[   ]py3-pyinstaller-6.6.0-r0.apk2024-05-13 19:37 1.9M
[   ]php83-pecl-phalcon-5.7.0-r0.apk2024-05-21 19:01 1.9M
[   ]php82-pecl-phalcon-5.7.0-r0.apk2024-05-21 19:01 1.9M
[   ]authenticator-rs-0.7.5-r0.apk2023-05-29 23:09 1.9M
[   ]ocaml-atd-dev-2.15.0-r0.apk2024-04-22 08:13 1.9M
[   ]vidcutter-pyc-6.0.5.1-r5.apk2023-04-22 18:11 1.9M
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2023.12-r4.apk2024-04-15 23:03 1.9M
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-03-30 07:39 1.9M
[   ]certstrap-1.3.0-r16.apk2024-05-19 01:28 1.9M
[   ]qml-asteroid-dbg-2.0.0-r0.apk2023-08-31 11:41 1.9M
[   ]gmsh-doc-4.12.2-r1.apk2024-04-22 06:42 1.9M
[   ]goreman-0.3.15-r6.apk2024-05-19 01:28 1.9M
[   ]openfpgaloader-0.11.0-r0.apk2023-10-21 10:35 1.9M
[   ]cargo-generate-0.20.0-r0.apk2024-04-01 21:39 2.0M
[   ]bootloose-0.7.1-r4.apk2024-05-19 01:28 2.0M
[   ]teapot-tools-0.4.2-r2.apk2024-04-15 16:24 2.0M
[   ]lomiri-download-manager-doc-0.1.3-r1.apk2024-04-22 19:58 2.0M
[   ]font-monaspace-krypton-1.000-r0.apk2023-11-12 13:20 2.0M
[   ]jackdaw-0.3.1-r1.apk2024-04-15 23:03 2.0M
[   ]solarus-engine-1.7.0-r0.apk2023-11-22 17:24 2.0M
[   ]fox-doc-1.6.57-r0.apk2022-08-08 12:58 2.0M
[   ]libarb-static-2.23.0-r2.apk2023-08-01 17:19 2.0M
[   ]prettier-3.2.5-r0.apk2024-02-06 00:57 2.0M
[   ]embree3-3.13.5-r2.apk2023-07-03 00:01 2.0M
[   ]font-stix-otf-2.13-r0.apk2024-02-23 03:13 2.0M
[   ]xed-lang-3.4.5-r0.apk2024-01-08 10:43 2.0M
[   ]secsipidx-libs-1.3.2-r5.apk2024-05-19 01:29 2.0M
[   ]wazero-1.6.0-r3.apk2024-05-19 01:29 2.0M
[   ]font-monaspace-neon-1.000-r0.apk2023-11-12 13:20 2.1M
[   ]android-apkeep-0.16.0-r0.apk2024-04-05 15:00 2.1M
[   ]kakoune-lsp-17.0.1-r0.apk2024-05-31 13:06 2.1M
[   ]py3-pivy-0.6.9_alpha0-r0.apk2024-04-19 02:02 2.1M
[   ]cocogitto-6.1.0-r0.apk2024-03-15 13:14 2.1M
[   ]rizin-cutter-2.3.2-r2.apk2024-04-16 18:48 2.1M
[   ]swi-prolog-doc-9.2.5-r0.apk2024-05-31 15:30 2.1M
[   ]lomiri-location-service-3.1.0-r1.apk2024-05-19 11:53 2.1M
[   ]reaction-1.4.0-r0.apk2024-05-31 12:59 2.1M
[   ]netsurf-3.11-r0.apk2024-02-03 14:33 2.1M
[   ]mesa-asahi-vulkan-swrast-24.0.0_pre20240527-r0.apk2024-05-29 00:31 2.1M
[   ]rss-email-0.5.0-r0.apk2024-04-26 15:58 2.1M
[   ]normaliz-libs-3.10.2-r1.apk2024-04-22 19:58 2.1M
[   ]docker-volume-local-persist-1.3.0-r26.apk2024-05-19 01:28 2.1M
[   ]font-monaspace-argon-1.000-r0.apk2023-11-12 13:20 2.1M
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-01-12 03:25 2.1M
[   ]gotestsum-1.12.0-r0.apk2024-05-31 15:39 2.1M
[   ]ocaml-markup-dev-1.0.3-r3.apk2024-03-23 21:49 2.1M
[   ]gron-0.7.1-r17.apk2024-05-19 01:28 2.1M
[   ]flutter-developer-3.19.4-r0.apk2024-03-22 00:13 2.1M
[   ]hashcat-doc-6.2.6-r0.apk2022-09-05 01:49 2.1M
[   ]vile-9.8z-r0.apk2024-01-26 03:25 2.2M
[   ]hpnssh-18.4.1-r0.apk2024-05-07 21:49 2.2M
[   ]icingaweb2-module-businessprocess-doc-2.4.0-r0.apk2022-08-15 18:45 2.2M
[   ]ocaml-omake-0.10.6-r0.apk2024-03-23 21:49 2.2M
[   ]aports-glmr-0.2-r21.apk2024-05-19 01:28 2.2M
[   ]yices2-2.6.4-r0.apk2023-02-10 07:28 2.2M
[   ]vice-doc-3.8-r0.apk2024-01-12 01:43 2.2M
[   ]pitivi-2023.03-r1.apk2024-04-16 17:49 2.2M
[   ]steamguard-cli-0.9.6-r0.apk2023-07-03 00:04 2.2M
[   ]tty-proxy-0.0.2-r21.apk2024-05-19 01:29 2.2M
[   ]py3-litex-hub-pythondata-software-compiler_rt-2023.12-r4.apk2024-04-15 23:03 2.2M
[   ]bitritter-0.1.1-r0.apk2024-05-30 06:31 2.2M
[   ]tangctl-0_git20220412-r16.apk2024-05-19 01:29 2.2M
[   ]musikcube-3.0.2-r1.apk2023-12-13 21:24 2.2M
[   ]mailsec-check-0_git20210729-r19.apk2024-05-19 01:28 2.2M
[   ]rage-0.9.2-r1.apk2023-07-03 00:03 2.2M
[   ]brltty-6.6-r1.apk2023-11-12 13:19 2.2M
[   ]guetzli-dev-0_git20191025-r1.apk2022-10-28 17:20 2.2M
[   ]gedit-lang-47.0-r0.apk2024-05-27 07:44 2.2M
[   ]monetdb-11.33.11-r4.apk2023-04-30 23:30 2.3M
[   ]font-monaspace-xenon-1.000-r0.apk2023-11-12 13:20 2.3M
[   ]tmpl-0.4.0-r4.apk2024-05-19 01:29 2.3M
[   ]kind-0.22.0-r3.apk2024-05-19 01:28 2.3M
[   ]watchmate-0.5.1-r1.apk2023-12-19 17:06 2.3M
[   ]k3sup-0.13.5-r4.apk2024-05-23 15:54 2.3M
[   ]jami-qt-lang-20230925-r0.apk2023-10-15 01:22 2.3M
[   ]twinkle-1.10.3-r2.apk2023-04-30 23:31 2.3M
[   ]secsipidx-1.3.2-r5.apk2024-05-19 01:29 2.3M
[   ]wayfire-0.8.1-r0.apk2024-03-15 07:19 2.3M
[   ]rcon-cli-1.6.2-r4.apk2024-05-19 01:28 2.3M
[   ]qownnotes-23.6.6-r0.apk2023-07-03 00:03 2.3M
[   ]drawpile-base-2.2.1-r1.apk2024-05-29 19:41 2.3M
[   ]sos-0.8-r24.apk2024-05-19 01:29 2.3M
[   ]htmldoc-1.9.18-r0.apk2024-02-12 11:47 2.3M
[   ]mesa-asahi-24.0.0_pre20240527-r0.apk2024-05-29 00:31 2.3M
[   ]sipexer-1.1.0-r6.apk2024-05-19 01:29 2.3M
[   ]ocaml-menhir-20220210-r2.apk2024-03-23 21:49 2.3M
[   ]rustdesk-server-1.1.10.3-r0.apk2024-02-12 00:04 2.4M
[   ]libmdbx-dbg-0.11.8-r0.apk2022-07-02 06:10 2.4M
[   ]ocaml-tls-dev-0.15.3-r4.apk2024-03-23 21:50 2.4M
[   ]libretro-ppsspp-0_git20210516-r12.apk2023-07-03 18:35 2.4M
[   ]ocaml-dns-6.2.2-r3.apk2024-03-23 21:49 2.4M
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2023.12-r4.apk2024-04-15 23:03 2.4M
[   ]dlib-dev-19.24.4-r0.apk2024-04-02 11:39 2.4M
[   ]ocaml-tcpip-dev-7.1.2-r3.apk2024-03-23 21:50 2.4M
[   ]consul-replicate-0.4.0-r24.apk2024-05-19 01:28 2.4M
[   ]py3-sphinx-theme-guzzle-0.7.11-r6.apk2024-04-15 23:03 2.4M
[   ]py3-seqdiag-3.0.0-r5.apk2024-04-15 23:03 2.5M
[   ]m17n-db-1.8.5-r0.apk2023-11-23 22:18 2.5M
[   ]hub-2.14.2-r23.apk2024-05-19 01:28 2.5M
[   ]piler-1.4.5-r0.apk2024-05-24 02:10 2.5M
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-04-15 23:03 2.5M
[   ]trafficserver9-9.2.4-r0.apk2024-04-05 15:43 2.5M
[   ]eclipse-ecj-4.26-r0.apk2023-01-14 17:43 2.5M
[   ]msh-2.5.0-r5.apk2024-05-19 01:28 2.5M
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-03-23 21:49 2.5M
[   ]py3-blockdiag-tests-3.0.0-r4.apk2024-04-15 23:03 2.5M
[   ]apk-tools3-static-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 2.5M
[   ]copyq-8.0.0-r0.apk2024-03-22 08:46 2.5M
[   ]h26forge-0.1.0_git20231031-r0.apk2024-02-07 01:49 2.5M
[   ]butane-0.19.0-r4.apk2024-05-19 01:28 2.5M
[   ]hurl-4.3.0-r0.apk2024-05-04 15:41 2.5M
[   ]recyclarr-cli-6.0.2-r1.apk2024-02-14 17:07 2.5M
[   ]apostrophe-2.6.3-r6.apk2024-04-15 09:15 2.5M
[   ]gtkwave-3.3.117-r0.apk2023-11-16 22:06 2.5M
[   ]qgis-dev-3.28.12-r6.apk2024-05-19 01:28 2.5M
[   ]mame-tools-0.251-r0.apk2023-02-22 12:49 2.5M
[   ]grommunio-gromox-2.28-r0.apk2024-05-24 14:40 2.6M
[   ]cherrytree-1.1.2-r0.apk2024-04-10 01:13 2.6M
[   ]ocaml-mirage-crypto-dev-0.10.6-r3.apk2024-03-23 21:49 2.6M
[   ]gitoxide-0.14.0-r1.apk2023-05-24 16:04 2.6M
[   ]rtl8812au-src-5.6.4.2_git20231103-r0.apk2023-12-12 07:31 2.6M
[   ]innernet-1.6.1-r0.apk2024-02-23 20:45 2.6M
[   ]rustic-0.6.1-r1.apk2024-01-08 10:43 2.7M
[   ]recoll-1.37.5-r1.apk2024-04-15 23:03 2.7M
[   ]font-twemoji-15.0.3-r0.apk2024-01-30 16:00 2.7M
[   ]rizin-0.6.3-r0.apk2023-10-18 17:52 2.7M
[   ]font-monaspace-radon-1.000-r0.apk2023-11-12 13:20 2.7M
[   ]py3-language-data-pyc-1.1-r1.apk2024-04-15 23:03 2.7M
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-03-23 20:25 2.7M
[   ]siril-1.2.1-r2.apk2024-03-05 01:48 2.7M
[   ]jsonnet-bundler-0.5.1-r13.apk2024-05-19 01:28 2.7M
[   ]primecount-dev-7.13-r0.apk2024-05-01 19:11 2.7M
[   ]pnpm-9.1.2-r0.apk2024-05-27 09:06 2.8M
[   ]vidcutter-6.0.5.1-r5.apk2023-04-22 18:11 2.8M
[   ]netsurf-framebuffer-3.11-r0.apk2024-02-03 14:33 2.8M
[   ]dockerize-0.7.0-r6.apk2024-05-19 01:28 2.8M
[   ]vym-2.9.26-r0.apk2023-12-23 13:59 2.8M
[   ]linphone-libs-5.3.38-r0.apk2024-04-15 09:15 2.8M
[   ]mcman-0.4.4-r0.apk2024-02-09 02:01 2.8M
[   ]ripasso-cursive-0.6.5-r0.apk2023-07-09 23:29 2.8M
[   ]asymptote-doc-2.86-r0.apk2023-08-20 15:37 2.8M
[   ]coin-4.0.0-r6.apk2024-04-22 19:57 2.8M
[   ]ocaml-labltk-8.06.12-r2.apk2024-03-23 21:49 2.9M
[   ]fceux-2.6.6-r2.apk2024-05-25 15:02 2.9M
[   ]pcl-1.14.0-r1.apk2024-04-22 19:58 2.9M
[   ]dstask-import-0.26-r7.apk2024-05-19 01:28 2.9M
[   ]sgt-puzzles-0_git20230310-r1.apk2023-07-04 00:52 2.9M
[   ]pwru-1.0.6-r1.apk2024-05-19 01:28 2.9M
[   ]lyrebird-0.2.0-r0.apk2024-05-19 01:28 3.0M
[   ]suru-icon-theme-20.05.1_git20221222-r0.apk2023-12-17 23:58 3.0M
[   ]sing-geoip-20231212-r0.apk2023-12-13 18:19 3.0M
[   ]pipeline-1.15.0-r0.apk2024-05-29 00:45 3.0M
[   ]khinsider-2.0.7-r13.apk2024-05-19 01:28 3.0M
[   ]drawpile-client-2.2.1-r1.apk2024-05-29 19:41 3.0M
[   ]maildir2rss-0.0.2-r5.apk2024-05-19 01:28 3.0M
[   ]gobuster-3.6.0-r5.apk2024-05-19 01:28 3.0M
[   ]hilbish-2.2.3-r1.apk2024-05-19 01:28 3.0M
[   ]kapow-0.7.1-r6.apk2024-05-19 01:28 3.0M
[   ]noson-dbg-2.10.3-r0.apk2023-06-17 00:20 3.0M
[   ]firectl-0.2.0-r12.apk2024-05-19 01:28 3.1M
[   ]octoprint-1.10.1-r0.apk2024-05-17 01:59 3.1M
[   ]mailutils-dev-3.17-r0.apk2024-01-19 19:21 3.1M
[   ]simh-3.11.1-r1.apk2022-10-28 17:21 3.1M
[   ]sigil-lang-2.1.0-r0.apk2024-05-13 09:58 3.1M
[   ]gomp-1.0.0-r5.apk2024-05-19 01:28 3.1M
[   ]libretro-dolphin-0_git20220407-r2.apk2024-04-15 09:15 3.1M
[   ]ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2024-03-23 21:49 3.1M
[   ]ocaml-gitlab-0.1.8-r0.apk2024-04-22 08:13 3.1M
[   ]alpine-lift-0.2.0-r16.apk2024-05-19 01:28 3.1M
[   ]certigo-1.16.0-r16.apk2024-05-19 01:28 3.2M
[   ]ocaml-lwt-dev-5.7.0-r0.apk2024-03-23 21:49 3.2M
[   ]prometheus-unbound-exporter-0.4.1-r6.apk2024-05-19 01:28 3.2M
[   ]drawing-1.0.2-r0.apk2023-03-06 14:04 3.2M
[   ]mesa-asahi-rusticl-24.0.0_pre20240527-r0.apk2024-05-29 00:31 3.2M
[   ]ruuvi-prometheus-0.1.7-r3.apk2024-05-19 01:28 3.2M
[   ]tty-share-2.4.0-r11.apk2024-05-19 01:29 3.2M
[   ]ghq-1.6.1-r1.apk2024-05-19 01:28 3.2M
[   ]autorestic-1.8.2-r2.apk2024-05-19 01:28 3.2M
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-05-21 18:14 3.3M
[   ]spotify-player-0.18.1-r0.apk2024-05-04 15:42 3.3M
[   ]svls-0.2.11-r0.apk2024-01-03 20:16 3.3M
[   ]drawpile-tools-2.2.1-r1.apk2024-05-29 19:41 3.3M
[   ]oras-cli-1.1.0-r3.apk2024-05-19 01:28 3.3M
[   ]qucs-s-1.1.0-r1.apk2023-08-01 17:19 3.3M
[   ]openttd-lang-13.4-r1.apk2023-11-12 13:21 3.3M
[   ]nsh-dbg-0.4.2-r1.apk2023-05-24 16:04 3.3M
[   ]speedtest_exporter-0.3.2-r8.apk2024-05-19 01:29 3.3M
[   ]lotide-0.15.0-r0.apk2024-03-30 07:39 3.3M
[   ]comics-downloader-0.33.8-r3.apk2024-05-19 01:28 3.3M
[   ]openttd-opengfx-7.1-r0.apk2021-09-25 22:16 3.3M
[   ]betula-1.1.0-r3.apk2024-05-19 01:28 3.4M
[   ]vym-doc-2.9.26-r0.apk2023-12-23 13:59 3.4M
[   ]stardict-help-3.0.6-r6.apk2023-04-30 23:31 3.4M
[   ]dsnet-0.7.3-r3.apk2024-05-19 01:28 3.4M
[   ]rbw-1.10.0-r0.apk2024-04-25 03:17 3.4M
[   ]rnote-cli-0.10.2-r0.apk2024-04-07 02:46 3.4M
[   ]pathvector-6.3.2-r6.apk2024-05-19 01:28 3.4M
[   ]ticker-4.5.14-r6.apk2024-05-19 01:29 3.5M
[   ]nauty-dev-2.8.8-r0.apk2023-12-08 03:15 3.5M
[   ]frescobaldi-3.3.0-r1.apk2024-04-15 23:03 3.5M
[   ]prometheus-ceph-exporter-4.2.3-r2.apk2024-05-19 01:28 3.5M
[   ]templ-0.2.663-r1.apk2024-05-19 01:29 3.5M
[   ]netscanner-0.5.1-r1.apk2024-06-03 20:39 3.5M
[   ]wgcf-2.2.22-r1.apk2024-05-19 01:29 3.5M
[   ]grommunio-admin-web-2.9.0-r2.apk2024-05-27 04:18 3.5M
[   ]apollo-0.2.1-r3.apk2024-05-19 01:28 3.5M
[   ]lua-language-server-3.9.1-r0.apk2024-05-16 20:35 3.6M
[   ]exercism-3.2.0-r5.apk2024-05-19 01:28 3.6M
[   ]mesa-asahi-osmesa-24.0.0_pre20240527-r0.apk2024-05-29 00:31 3.6M
[   ]openssl1.1-compat-dbg-1.1.1w-r0.apk2023-09-12 13:26 3.6M
[   ]prometheus-rethinkdb-exporter-1.0.1-r21.apk2024-05-19 01:28 3.6M
[   ]dive-0.11.0-r6.apk2024-05-19 01:28 3.6M
[   ]advancemame-mess-3.9-r4.apk2023-03-16 02:55 3.6M
[   ]libsymmetrica-3.0.1-r2.apk2023-08-01 17:19 3.7M
[   ]fwallet-1.1.4-r1.apk2024-02-20 03:24 3.7M
[   ]ocaml-containers-3.7-r2.apk2024-03-23 21:49 3.7M
[   ]ocaml-omod-bin-0.0.3-r3.apk2024-03-23 21:49 3.7M
[   ]godap-2.5.0-r0.apk2024-05-23 23:50 3.7M
[   ]biome-1.6.0-r0.apk2024-03-14 18:17 3.7M
[   ]lomiri-gallery-app-3.0.2-r0.apk2024-03-08 22:43 3.7M
[   ]skia-sharp-0_git20230912-r0.apk2023-11-20 21:49 3.7M
[   ]font-teluguvijayam-20190525-r1.apk2022-08-21 17:52 3.7M
[   ]prometheus-smartctl-exporter-0.12.0-r3.apk2024-05-19 01:28 3.8M
[   ]manifest-tool-2.1.6-r3.apk2024-05-19 01:28 3.8M
[   ]pspp-dbg-1.4.1-r3.apk2023-07-04 00:52 3.8M
[   ]xemu-0.7.122-r0.apk2024-06-04 13:51 3.8M
[   ]yarr-2.4-r6.apk2024-05-19 01:29 3.8M
[   ]geodns-logs-3.3.0-r6.apk2024-05-19 01:28 3.8M
[   ]pari-libs-2.15.4-r0.apk2023-07-11 05:35 3.8M
[   ]mypaint-2.0.1-r0.apk2023-04-23 03:05 3.9M
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-03-23 21:49 3.9M
[   ]otrs-dev-6.0.48-r1.apk2024-01-15 10:37 3.9M
[   ]jsonnet-language-server-0.13.1-r3.apk2024-05-19 01:28 3.9M
[   ]mqtt2prometheus-0.1.7-r9.apk2024-05-19 01:28 3.9M
[   ]php81-pecl-grpc-1.64.1-r1.apk2024-06-04 13:48 3.9M
[   ]acmetool-0.2.2-r6.apk2024-05-19 01:28 3.9M
[   ]pdfcpu-0.7.0-r2.apk2024-05-19 01:28 3.9M
[   ]tanka-0.26.0-r5.apk2024-05-19 01:29 3.9M
[   ]modem-manager-gui-doc-0.0.20-r0.apk2021-10-29 15:58 3.9M
[   ]mtg-2.1.7-r14.apk2024-05-19 01:28 3.9M
[   ]phoronix-test-suite-10.8.4-r2.apk2023-10-15 01:23 3.9M
[   ]lomiri-0.2.1-r1.apk2024-03-26 01:03 4.0M
[   ]fq-0.10.0-r4.apk2024-05-19 01:28 4.0M
[   ]horizon-dbg-0.9.6-r9.apk2024-04-22 19:58 4.0M
[   ]yazi-0.2.5-r0.apk2024-04-29 00:46 4.0M
[   ]kubectl-krew-0.4.4-r5.apk2024-05-19 01:28 4.0M
[   ]ytt-0.47.0-r3.apk2024-05-19 01:29 4.0M
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2023-07-26 08:22 4.0M
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-03-23 21:49 4.0M
[   ]prometheus-smokeping-prober-0.7.1-r5.apk2024-05-19 01:28 4.0M
[   ]sigil-2.1.0-r0.apk2024-05-13 09:58 4.0M
[   ]reg-0.16.1-r21.apk2024-05-19 01:28 4.0M
[   ]go-swag-1.8.12-r5.apk2024-05-19 01:28 4.0M
[   ]java-jtharness-6.0_p12-r0.apk2022-10-05 21:11 4.0M
[   ]crowdsec-email-plugin-1.6.2-r1.apk2024-06-01 18:37 4.0M
[   ]py3-drf-yasg-1.21.7-r1.apk2024-04-15 23:03 4.0M
[   ]openocd-git-dbg-0_git20240113-r0.apk2024-01-17 09:37 4.1M
[   ]prometheus-bind-exporter-0.7.0-r4.apk2024-05-19 01:28 4.1M
[   ]cura-lang-5.2.2-r1.apk2024-04-15 23:03 4.1M
[   ]mstflint-4.26.0.1-r0.apk2024-01-21 16:22 4.1M
[   ]flutter-tool-developer-3.19.4-r0.apk2024-03-22 00:13 4.1M
[   ]muse-doc-4.2.1-r1.apk2024-04-16 17:49 4.1M
[   ]sequoia-chameleon-gnupg-0.9.0-r0.apk2024-05-23 03:57 4.1M
[   ]gx-0.14.3-r23.apk2024-05-19 01:28 4.1M
[   ]mattermost-desktop-5.8.0-r0.apk2024-05-19 03:45 4.1M
[   ]zot-exporter-2.0.4-r2.apk2024-05-19 01:29 4.1M
[   ]tootik-0.10.4-r1.apk2024-05-19 01:29 4.1M
[   ]rizin-libs-0.6.3-r0.apk2023-10-18 17:52 4.1M
[   ]gmic-libs-3.3.5-r0.apk2024-04-26 15:52 4.2M
[   ]sonar-scanner-6.0.0.4432-r0.apk2024-05-31 00:34 4.2M
[   ]ocaml-dns-dev-6.2.2-r3.apk2024-03-23 21:49 4.2M
[   ]crowdsec-splunk-plugin-1.6.2-r1.apk2024-06-01 18:37 4.2M
[   ]crowdsec-http-plugin-1.6.2-r1.apk2024-06-01 18:37 4.2M
[   ]geodns-3.3.0-r6.apk2024-05-19 01:28 4.2M
[   ]chamo-dev-4.0-r0.apk2024-04-22 08:13 4.2M
[   ]tauri-cli-1.4.0-r2.apk2023-08-12 01:59 4.2M
[   ]fathom-1.3.1-r6.apk2024-05-19 01:28 4.2M
[   ]crowdsec-slack-plugin-1.6.2-r1.apk2024-06-01 18:37 4.2M
[   ]amule-2.3.3-r13.apk2024-04-22 19:57 4.2M
[   ]envconsul-0.13.2-r6.apk2024-05-19 01:28 4.3M
[   ]php81-pecl-couchbase-4.2.1-r0.apk2024-04-25 13:08 4.3M
[   ]jami-daemon-4.0.0_git20230925-r2.apk2024-06-03 08:22 4.3M
[   ]ocaml-uri-dev-4.2.0-r2.apk2024-03-23 21:50 4.3M
[   ]dhewm3-1.5.2-r0.apk2022-08-24 09:59 4.3M
[   ]uv-0.2.6-r0.apk2024-06-05 23:16 4.3M
[   ]i3status-rust-0.33.1-r0.apk2024-04-08 21:59 4.3M
[   ]ssh-cert-authority-2.0.0-r19.apk2024-05-19 01:29 4.4M
[   ]gx-go-1.9.0-r25.apk2024-05-19 01:28 4.4M
[   ]projectm-presets-3.1.12-r2.apk2024-05-08 15:01 4.4M
[   ]arc-icon-theme-20161122-r0.apk2021-05-04 22:49 4.4M
[   ]conntracct-0.2.7-r24.apk2024-05-19 01:28 4.4M
[   ]qownnotes-lang-23.6.6-r0.apk2023-07-03 00:03 4.4M
[   ]java-jtreg-7.3.1_p1-r0.apk2023-10-22 10:20 4.4M
[   ]cinny-web-3.2.0-r0.apk2024-01-18 02:36 4.4M
[   ]flare-engine-1.14-r0.apk2023-01-26 20:28 4.4M
[   ]jackett-0.21.2458-r0.apk2024-04-26 16:19 4.4M
[   ]yoshimi-doc-2.3.2-r0.apk2024-04-18 15:53 4.5M
[   ]olab-0.1.8-r0.apk2024-04-22 08:13 4.5M
[   ]mir-dev-2.15.0-r1.apk2024-04-22 19:58 4.5M
[   ]s5cmd-2.2.2-r3.apk2024-05-19 01:29 4.5M
[   ]openttd-13.4-r1.apk2023-11-12 13:21 4.5M
[   ]flutter-gtk-3.19.4-r0.apk2024-03-22 00:13 4.5M
[   ]flutter-glfw-3.19.4-r0.apk2024-03-22 00:13 4.5M
[   ]py3-language-data-1.1-r1.apk2024-04-15 23:03 4.5M
[   ]ocaml-gettext-0.4.2-r3.apk2024-03-23 21:49 4.5M
[   ]palp-2.20-r1.apk2023-08-01 17:19 4.5M
[   ]cargo-leptos-0.2.17-r0.apk2024-04-28 21:56 4.6M
[   ]geonames-lang-0.3.1-r1.apk2024-04-17 17:31 4.6M
[   ]trunk-0.20.2-r0.apk2024-06-05 18:04 4.6M
[   ]kubectl-oidc_login-1.28.0-r6.apk2024-05-19 01:28 4.6M
[   ]spacectl-0.30.0-r3.apk2024-05-19 01:29 4.7M
[   ]libsymmetrica-static-3.0.1-r2.apk2023-08-01 17:19 4.7M
[   ]py3-litex-hub-pythondata-software-picolibc-2023.12-r4.apk2024-04-15 23:03 4.7M
[   ]android-translation-layer-0_git20240527-r0.apk2024-05-28 12:54 4.7M
[   ]ocaml-base-0.16.3-r0.apk2024-03-23 21:49 4.7M
[   ]qbittorrent-cli-2.0.0-r4.apk2024-05-19 01:28 4.7M
[   ]autoscan-1.4.0-r4.apk2024-05-19 01:28 4.7M
[   ]upterm-server-0.13.5-r1.apk2024-05-19 01:29 4.8M
[   ]scalingo-1.30.0-r3.apk2024-05-19 01:29 4.8M
[   ]wabt-1.0.34-r0.apk2023-10-31 00:11 4.8M
[   ]secsipidx-dev-1.3.2-r5.apk2024-05-19 01:29 4.8M
[   ]speedtest-go-1.1.5-r8.apk2024-05-19 01:29 4.9M
[   ]cargo-shuttle-0.45.0-r0.apk2024-05-14 02:00 4.9M
[   ]abc-0_git20240102-r0.apk2024-01-19 01:05 4.9M
[   ]mesa-asahi-dri-gallium-24.0.0_pre20240527-r0.apk2024-05-29 00:31 4.9M
[   ]manticore-converter-6.2.12-r1.apk2024-05-24 04:46 4.9M
[   ]py3-nwdiag-3.0.0-r2.apk2024-04-15 23:03 4.9M
[   ]nauty-2.8.8-r0.apk2023-12-08 03:15 4.9M
[   ]swi-prolog-9.2.5-r0.apk2024-05-31 15:30 4.9M
[   ]alps-0_git20230807-r4.apk2024-05-19 01:28 4.9M
[   ]fluent-bit-2.1.10-r0.apk2023-10-05 22:20 5.0M
[   ]py3-onnxruntime-1.18.0-r0.apk2024-06-06 17:29 5.0M
[   ]tillitis-key1-apps-0.0.6-r7.apk2024-05-19 01:29 5.0M
[   ]ocaml-ppx_blob-0.8.0-r0.apk2024-04-22 08:13 5.1M
[   ]qtox-1.17.6-r6.apk2024-01-20 20:47 5.1M
[   ]virter-0.27.0-r1.apk2024-05-19 01:29 5.1M
[   ]comics-downloader-gui-0.33.8-r3.apk2024-05-19 01:28 5.1M
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-03-23 21:49 5.1M
[   ]cargo-crev-0.25.5-r2.apk2024-06-02 16:52 5.1M
[   ]dustracing2d-2.1.1-r1.apk2022-10-28 17:20 5.1M
[   ]ocaml-lwt_ppx-5.7.0-r0.apk2024-03-23 21:49 5.2M
[   ]jackline-0.1.0-r3.apk2024-03-23 21:49 5.2M
[   ]openjdk22-demos-22.0.1_p8-r2.apk2024-04-26 02:12 5.2M
[   ]rattler-build-0.16.2-r0.apk2024-05-24 23:41 5.2M
[   ]cvise-2.8.0-r2.apk2023-07-30 00:00 5.2M
[   ]drone-cli-1.8.0-r3.apk2024-05-19 01:28 5.2M
[   ]mautrix-slack-0_git20230925-r4.apk2024-05-19 01:28 5.3M
[   ]flint-2.9.0-r1.apk2023-01-07 12:00 5.3M
[   ]telegram-tdlib-1.8.9-r1.apk2023-05-15 18:46 5.3M
[   ]distrobuilder-2.1-r17.apk2024-05-19 01:28 5.4M
[   ]ocaml-bitstring-4.1.0-r3.apk2024-03-23 21:49 5.4M
[   ]upterm-0.13.5-r1.apk2024-05-19 01:29 5.4M
[   ]asteroid-wallpapers-2.0.0-r0.apk2023-09-01 08:31 5.5M
[   ]ocaml-cstruct-6.1.0-r3.apk2024-03-23 21:49 5.5M
[   ]sydbox-utils-3.18.4-r0.apk2024-05-08 19:55 5.5M
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2023.12-r4.apk2024-04-15 23:03 5.6M
[   ]go-jsonnet-0.20.0-r7.apk2024-05-19 01:28 5.6M
[   ]typescript-5.4.5-r0.apk2024-06-02 22:48 5.6M
[   ]yoshimi-2.3.2-r0.apk2024-04-18 15:53 5.6M
[   ]warp-s3-0.8.0-r4.apk2024-05-19 01:29 5.6M
[   ]pict-rs-0.5.13-r0.apk2024-04-16 13:19 5.6M
[   ]singular-static-4.3.2-r2.apk2023-08-01 17:19 5.7M
[   ]advancemame-data-3.9-r4.apk2023-03-16 02:55 5.8M
[   ]wasmtime-18.0.3-r0.apk2024-03-16 22:54 5.8M
[   ]manticore-6.2.12-r1.apk2024-05-24 04:46 5.8M
[   ]pypy3-pyc-7.3.12-r0.apk2023-06-17 00:21 5.9M
[   ]mautrix-discord-0.6.5-r4.apk2024-05-19 01:28 5.9M
[   ]ocaml-uucp-14.0.0-r2.apk2024-03-23 21:50 5.9M
[   ]conduit-0.7.0-r0.apk2024-04-25 10:45 5.9M
[   ]compiz-0.9.14.2-r5.apk2024-05-20 09:34 5.9M
[   ]ocaml-sedlex-3.2-r0.apk2024-03-23 21:50 5.9M
[   ]release-plz-0.3.70-r0.apk2024-05-28 06:48 5.9M
[   ]termusic-mpv-0.7.11-r0.apk2023-09-06 17:45 6.0M
[   ]fplll-libs-5.4.5-r0.apk2023-10-22 10:04 6.0M
[   ]etcd-ctl-3.5.13-r2.apk2024-05-19 01:28 6.0M
[   ]gb-0.4.4-r24.apk2024-05-19 01:28 6.0M
[   ]muse-4.2.1-r1.apk2024-04-16 17:49 6.1M
[   ]wf-shell-0.8.1-r0.apk2024-03-15 07:19 6.1M
[   ]lychee-0.15.1-r0.apk2024-04-30 01:18 6.1M
[   ]zrepl-0.6.1-r4.apk2024-05-19 01:29 6.1M
[   ]ocamlnet-dev-4.1.9-r2.apk2024-03-23 21:50 6.1M
[   ]go-passbolt-cli-0.3.1-r1.apk2024-05-19 01:28 6.1M
[   ]ocaml-bisect_ppx-2.8.3-r0.apk2024-03-23 21:49 6.1M
[   ]glow-1.5.1-r7.apk2024-05-19 01:28 6.1M
[   ]mautrix-gmessages-0.4.1-r1.apk2024-05-19 01:28 6.2M
[   ]font-fira-ttf-4.202-r0.apk2021-12-04 04:01 6.2M
[   ]kannel-1.5.0-r11.apk2023-04-30 23:30 6.2M
[   ]libdcmtk-3.6.8-r0.apk2024-01-13 23:53 6.2M
[   ]ocaml-higlo-0.9-r0.apk2024-04-22 08:13 6.2M
[   ]theforceengine-doc-1.09.540-r1.apk2024-02-12 17:02 6.3M
[   ]ocaml-stk-0.1.0-r0.apk2024-04-22 08:13 6.3M
[   ]tomcat9-9.0.89-r0.apk2024-05-08 14:19 6.4M
[   ]kompose-1.31.2-r3.apk2024-05-19 01:28 6.4M
[   ]forgejo-runner-3.4.1-r2.apk2024-05-19 01:28 6.4M
[   ]openssl1.1-compat-libs-static-1.1.1w-r0.apk2023-09-12 13:26 6.5M
[   ]cinny-3.2.1-r1.apk2024-03-20 11:12 6.5M
[   ]py3-flask-admin-1.6.1-r3.apk2024-04-15 23:03 6.5M
[   ]yaegi-0.16.1-r1.apk2024-05-19 01:29 6.5M
[   ]unicorn-2.0.1-r4.apk2024-04-19 17:15 6.5M
[   ]please-build-17.8.7-r1.apk2024-05-19 01:28 6.6M
[   ]ocaml-ppx_deriving-5.3.0-r0.apk2024-03-23 21:49 6.6M
[   ]fpc-stage0-3.2.2-r1.apk2021-12-25 16:10 6.6M
[   ]openjdk22-jdk-22.0.1_p8-r2.apk2024-04-26 02:12 6.6M
[   ]ocaml-containers-dev-3.7-r2.apk2024-03-23 21:49 6.7M
[   ]sqlmap-1.8.5-r0.apk2024-05-28 21:04 6.8M
[   ]theforceengine-1.09.540-r1.apk2024-02-12 17:02 6.8M
[   ]fplll-static-5.4.5-r0.apk2023-10-22 10:04 6.9M
[   ]regal-0.21.3-r1.apk2024-05-19 01:28 6.9M
[   ]desync-0.9.5-r6.apk2024-05-19 01:28 6.9M
[   ]protoconf-0.1.7-r6.apk2024-05-19 01:28 6.9M
[   ]boa-cli-0.18-r0.apk2024-03-10 15:49 7.0M
[   ]rio-0.0.36-r0.apk2024-03-15 13:04 7.0M
[   ]nom-2.1.4-r1.apk2024-05-19 01:28 7.0M
[   ]gambit-dev-4.9.5-r0.apk2024-01-21 14:57 7.1M
[   ]ovn-24.03.1-r0.apk2024-04-12 08:15 7.1M
[   ]kine-0.10.1-r5.apk2024-05-19 01:28 7.2M
[   ]intiface-central-2.5.7-r0.apk2024-04-22 06:42 7.2M
[   ]sish-2.16.0-r0.apk2024-05-31 01:04 7.2M
[   ]mautrix-meta-0.3.1-r1.apk2024-05-19 01:28 7.3M
[   ]clementine-1.4.0_git20220324-r12.apk2024-05-08 15:01 7.3M
[   ]oauth2-proxy-7.6.0-r4.apk2024-05-19 01:28 7.3M
[   ]mitra-2.21.0-r0.apk2024-06-02 08:27 7.4M
[   ]font-fira-otf-4.202-r0.apk2021-12-04 04:01 7.4M
[   ]mailctl-0.9.2-r0.apk2024-01-02 21:57 7.4M
[   ]grpcurl-1.9.1-r1.apk2024-05-19 01:28 7.5M
[   ]zot-cli-2.0.4-r2.apk2024-05-19 01:29 7.5M
[   ]ocp-index-1.3.6-r0.apk2024-03-23 21:50 7.5M
[   ]azote-1.12.7-r0.apk2024-05-06 23:24 7.6M
[   ]supercollider-3.13.0-r5.apk2024-05-21 18:39 7.6M
[   ]opcr-policy-0.2.13-r1.apk2024-05-19 01:28 7.8M
[   ]unicorn-dev-2.0.1-r4.apk2024-04-19 17:15 7.8M
[   ]libretro-mame2003-0_git20220206-r0.apk2022-04-21 12:02 7.9M
[   ]glmark2-2023.01-r0.apk2023-05-18 23:55 7.9M
[   ]etcd-3.5.13-r2.apk2024-05-19 01:28 7.9M
[   ]vale-3.4.2-r1.apk2024-05-19 01:29 7.9M
[   ]faust-2.60.3-r2.apk2023-07-03 00:01 8.0M
[   ]gambit-4.9.5-r0.apk2024-01-21 14:57 8.1M
[   ]ko-0.15.2-r2.apk2024-05-19 01:28 8.1M
[   ]pspp-1.4.1-r3.apk2023-07-04 00:52 8.1M
[   ]soju-0.7.0-r3.apk2024-05-19 01:29 8.3M
[   ]cloudfoundry-cli-8.7.9-r2.apk2024-05-19 01:28 8.3M
[   ]itd-1.1.0-r6.apk2024-05-19 01:28 8.4M
[   ]ocaml-lablgtk3-3.1.2-r3.apk2024-03-23 21:49 8.4M
[   ]buf-protoc-plugins-1.31.0-r1.apk2024-05-19 01:28 8.4M
[   ]gmsh-4.12.2-r1.apk2024-04-22 06:42 8.4M
[   ]git-bug-0.8.0-r12.apk2024-05-19 01:28 8.4M
[   ]docker-auth-1.11.0-r8.apk2024-05-19 01:28 8.5M
[   ]onnxruntime-1.18.0-r0.apk2024-06-06 17:29 8.5M
[   ]psi-plus-1.5.1653-r0.apk2024-02-24 00:04 8.5M
[   ]jfrog-cli-2.45.0-r5.apk2024-05-19 01:28 8.5M
[   ]py3-apicula-0.11.1-r1.apk2024-04-15 23:03 8.5M
[   ]mautrix-signal-0.6.1-r1.apk2024-05-19 01:28 8.5M
[   ]ocaml-atd-2.15.0-r0.apk2024-04-22 08:13 8.5M
[   ]texlab-5.15.0-r0.apk2024-04-26 17:31 8.6M
[   ]art_standalone-dev-0_git20240525-r0.apk2024-05-28 12:54 8.6M
[   ]grpcui-1.4.1-r1.apk2024-05-19 01:28 8.6M
[   ]pixi-0.21.1-r0.apk2024-05-09 00:22 8.8M
[   ]chamo-4.0-r0.apk2024-04-22 08:13 8.8M
[   ]fcitx5-5.1.9-r0.apk2024-04-25 21:24 8.8M
[   ]bettercap-2.32.0-r21.apk2024-05-19 01:28 8.9M
[   ]lumina-desktop-core-1.6.2-r0.apk2022-07-05 21:10 8.9M
[   ]rnote-0.10.2-r0.apk2024-04-07 02:46 8.9M
[   ]coccinelle-1.1.1-r2.apk2024-04-18 13:11 9.0M
[   ]gortr-0.14.8-r6.apk2024-05-19 01:28 9.0M
[   ]maddy-0.7.1-r3.apk2024-05-19 01:28 9.0M
[   ]undock-0.7.0-r3.apk2024-05-19 01:29 9.0M
[   ]piper-phonemize-2023.11.14.4-r2.apk2024-06-06 17:29 9.0M
[   ]linphone-5.3.38-r0.apk2024-04-15 09:15 9.0M
[   ]stayrtr-0.5.1-r3.apk2024-05-19 01:29 9.0M
[   ]ppl-doc-1.2-r1.apk2023-05-15 18:46 9.1M
[   ]snowflake-2.9.2-r2.apk2024-05-19 01:29 9.2M
[   ]electron-lang-30.0.9-r0.apk2024-05-31 00:34 9.2M
[   ]opa-0.61.0-r3.apk2024-05-19 01:28 9.3M
[   ]hangover-wine-dev-9.5-r0.apk2024-04-01 21:00 9.4M
[   ]soju-utils-0.7.0-r3.apk2024-05-19 01:29 9.4M
[   ]headscale-0.22.3-r7.apk2024-05-19 01:28 9.5M
[   ]librewolf-dbg-126.0.1_p1-r0.apk2024-06-02 01:17 9.6M
[   ]mangal-4.0.6-r11.apk2024-05-19 01:28 9.6M
[   ]buf-1.31.0-r1.apk2024-05-19 01:28 9.6M
[   ]sc3-plugins-3.13.0-r1.apk2023-02-24 14:25 9.6M
[   ]please-build-tools-17.8.7-r1.apk2024-05-19 01:28 9.6M
[   ]ocaml-base-dev-0.16.3-r0.apk2024-03-23 21:49 9.7M
[   ]sing-box-1.8.4-r4.apk2024-05-19 01:29 9.7M
[   ]ocaml-cohttp-tools-5.3.1-r0.apk2024-04-22 08:13 9.9M
[   ]flightgear-2020.3.19-r1.apk2024-04-22 19:58 9.9M
[   ]seed7-05.20240322-r0.apk2024-03-24 14:17 10M
[   ]ocaml-stk-dev-0.1.0-r0.apk2024-04-22 08:13 10M
[   ]helm-ls-0.0.12-r2.apk2024-05-19 01:28 10M
[   ]maxima-doc-extra-5.47.0-r7.apk2024-04-19 08:06 10M
[   ]py3-litex-hub-pythondata-cpu-cva6-2023.12-r4.apk2024-04-15 23:03 10M
[   ]mapnik-3.1.0-r26.apk2024-05-19 01:28 10M
[   ]singular-4.3.2-r2.apk2023-08-01 17:19 10M
[   ]vcmi-1.4.5-r1.apk2024-04-22 19:58 10M
[   ]ovn-dev-24.03.1-r0.apk2024-04-12 08:15 10M
[   ]klfc-1.5.7-r0.apk2022-08-21 17:20 10M
[   ]jackal-0.64.0-r8.apk2024-05-19 01:28 10M
[   ]anki-24.04.1-r0.apk2024-05-13 09:58 11M
[   ]py3-litex-hub-pythondata-cpu-rocket-2023.12-r4.apk2024-04-15 23:03 11M
[   ]ocaml-ocf-0.8.0-r3.apk2024-03-23 21:49 11M
[   ]carapace-1.0.3-r0.apk2024-06-01 16:09 11M
[   ]openttd-opensfx-1.0.3-r0.apk2021-11-03 03:16 11M
[   ]eccodes-2.32.1-r0.apk2023-12-08 23:04 11M
[   ]libretro-fbneo-0_git20220416-r0.apk2022-04-21 12:02 11M
[   ]gmic-3.3.5-r0.apk2024-04-26 15:52 11M
[   ]linuxkit-1.2.0-r2.apk2024-05-19 01:28 11M
[   ]ocaml-gitlab-dev-0.1.8-r0.apk2024-04-22 08:13 12M
[   ]waifu2x-converter-cpp-5.3.4-r5.apk2024-02-22 01:49 12M
[   ]lemmy-0.19.3-r1.apk2024-02-20 01:42 12M
[   ]kismet-0.202307.1-r2.apk2023-11-15 17:49 12M
[   ]chicago95-icons-3.0.1-r0.apk2024-01-19 04:28 12M
[   ]gprbuild-22.0.0-r2.apk2023-05-14 21:19 12M
[   ]freedoom-0.12.1-r2.apk2023-10-31 12:12 12M
[   ]virtctl-1.2.0-r1.apk2024-05-19 01:29 12M
[   ]atlas-0.22.0-r1.apk2024-05-19 01:28 12M
[   ]ocaml-xtmpl-0.19.0-r0.apk2024-04-22 08:13 12M
[   ]dnscontrol-4.11.0-r0.apk2024-05-19 01:28 12M
[   ]noggin-model-0.1-r0.apk2023-06-17 00:20 12M
[   ]scaleway-cli-2.29.0-r1.apk2024-05-19 01:29 12M
[   ]kopia-0.17.0-r0.apk2024-05-31 00:34 12M
[   ]typst-lsp-0.13.0-r0.apk2024-03-16 18:38 12M
[   ]nvidia-src-535.86.05-r0.apk2023-07-18 21:11 12M
[   ]kube-no-trouble-0.7.2-r2.apk2024-05-19 01:28 12M
[   ]katana-1.1.0-r2.apk2024-05-19 01:28 12M
[   ]thelounge-4.4.1-r0.apk2023-08-07 07:53 12M
[   ]libvmime-dbg-0.9.2.175-r0.apk2024-04-26 08:25 12M
[   ]texmaker-5.1.4-r0.apk2023-05-01 19:31 13M
[   ]advancemame-3.9-r4.apk2023-03-16 02:55 13M
[   ]nodejs18-18.19.1-r0.apk2024-03-14 18:21 13M
[   ]vice-3.8-r0.apk2024-01-12 01:43 13M
[   ]noson-app-dbg-5.4.1-r1.apk2023-08-28 14:02 13M
[   ]taskcafe-0.3.6-r6.apk2024-05-19 01:29 13M
[   ]pypy3-tests-7.3.12-r0.apk2023-06-17 00:21 13M
[   ]tic-80-1.1.2837-r2.apk2024-04-17 13:21 13M
[   ]utop-2.9.1-r4.apk2024-04-04 12:39 13M
[   ]utop-full-2.9.1-r4.apk2024-04-04 12:39 13M
[   ]dissent-0.0.22-r2.apk2024-05-19 01:28 13M
[   ]jami-qt-20230925-r0.apk2023-10-15 01:22 14M
[   ]btcd-0.24.0-r1.apk2024-05-19 01:28 14M
[   ]prometheus-podman-exporter-1.11.0-r1.apk2024-05-19 01:28 14M
[   ]r2ghidra-5.9.0-r0.apk2024-04-02 23:22 14M
[   ]lipstick-asteroidos-dbg-2.0.0-r1.apk2023-10-08 12:34 14M
[   ]ocaml-dns-tools-6.2.2-r3.apk2024-03-23 21:49 14M
[   ]avr-libc-git-0_git20240218-r4.apk2024-02-23 22:21 14M
[   ]ocaml-lablgtk3-dev-3.1.2-r3.apk2024-03-23 21:49 14M
[   ]cri-o-1.29.1-r3.apk2024-05-19 01:28 14M
[   ]yass-2.5.0-r0.apk2024-01-15 21:58 14M
[   ]flutter-tool-3.19.4-r0.apk2024-03-22 00:13 14M
[   ]ocaml-ppxlib-0.32.0-r0.apk2024-03-23 21:49 14M
[   ]kubepug-1.7.1-r3.apk2024-05-19 01:28 15M
[   ]stern-1.29.0-r1.apk2024-05-19 01:29 15M
[   ]autobrr-1.42.0-r1.apk2024-05-19 01:28 15M
[   ]yosys-0.36-r3.apk2024-04-22 18:32 15M
[   ]telegram-tdlib-static-1.8.9-r1.apk2023-05-15 18:46 16M
[   ]grommunio-web-3.8-r1.apk2024-05-31 01:04 16M
[   ]prowlarr-1.18.0.4543-r0.apk2024-06-03 08:46 16M
[   ]ocaml-reason-3.8.2-r1.apk2024-03-23 21:49 16M
[   ]lidarr-2.3.3.4204-r0.apk2024-05-17 14:50 16M
[   ]ocaml-ppxlib-dev-0.32.0-r0.apk2024-03-23 21:49 16M
[   ]merlin-4.14-r0.apk2024-03-23 21:49 16M
[   ]hubble-cli-0.13.3-r1.apk2024-05-19 01:28 16M
[   ]icestorm-0_git20231212-r0.apk2024-01-12 03:25 16M
[   ]faust-doc-2.60.3-r2.apk2023-07-03 00:01 17M
[   ]ovos-core-0.0.8_alpha105-r0.apk2024-05-31 08:16 17M
[   ]keybase-client-6.2.8-r3.apk2024-05-19 01:28 17M
[   ]ocamlnet-4.1.9-r2.apk2024-03-23 21:50 17M
[   ]pcl-libs-1.14.0-r1.apk2024-04-22 19:58 17M
[   ]pypy3-7.3.12-r0.apk2023-06-17 00:21 17M
[   ]bestline-doc-0.0_git20211108-r0.apk2022-02-13 16:13 18M
[   ]vector-0.34.2-r0.apk2024-01-05 19:42 18M
[   ]linux-elm-6.9.2-r0.apk2024-05-28 14:11 18M
[   ]seaweedfs-3.63-r2.apk2024-05-19 01:29 18M
[   ]pypy-7.3.12-r0.apk2023-06-17 00:21 18M
[   ]eclib-static-20231212-r1.apk2024-04-22 19:58 18M
[   ]lomiri-sounds-22.02-r0.apk2024-03-15 18:50 18M
[   ]rke-1.4.3-r8.apk2024-05-19 01:28 18M
[   ]wtfutil-0.43.0-r6.apk2024-05-19 01:29 18M
[   ]py3-qgis-3.28.12-r6.apk2024-05-19 01:28 18M
[   ]mixxx-2.4.1-r0.apk2024-06-05 23:00 18M
[   ]art_standalone-0_git20240525-r0.apk2024-05-28 12:54 18M
[   ]ombi-4.43.5-r1.apk2023-11-18 20:37 18M
[   ]jitsi-meet-1.0.7792-r1.apk2024-05-24 15:05 18M
[   ]vals-0.35.0-r3.apk2024-05-19 01:29 18M
[   ]font-babelstone-han-15.1.3-r0.apk2024-01-11 12:48 18M
[   ]flightgear-dbg-2020.3.19-r1.apk2024-04-22 19:58 19M
[   ]pypy-bootstrap-7.3.12-r0.apk2023-06-17 00:21 19M
[   ]tetragon-client-1.1.0-r1.apk2024-05-19 01:29 19M
[   ]kuma-dp-2.7.2-r1.apk2024-05-19 01:28 19M
[   ]mame-data-0.251-r0.apk2023-02-22 12:49 19M
[   ]linux-asahi-6.6_p15-r2.apk2024-01-28 17:22 19M
[   ]knative-client-1.14.0-r1.apk2024-05-19 01:28 19M
[   ]zafiro-icon-theme-1.3-r0.apk2023-02-05 03:02 19M
[   ]manticore-tools-6.2.12-r1.apk2024-05-24 04:46 19M
[   ]py3-litex-hub-pythondata-cpu-microwatt-2023.12-r4.apk2024-04-15 23:03 19M
[   ]libretro-scummvm-0_git20210325-r0.apk2021-05-07 03:52 20M
[   ]thanos-0.31.0-r6.apk2024-05-19 01:29 20M
[   ]kubectl-cert-manager-1.14.4-r3.apk2024-05-19 01:28 20M
[   ]openjdk22-static-libs-22.0.1_p8-r2.apk2024-04-26 02:13 20M
[   ]jicofo-1.0.1078-r0.apk2024-05-24 15:05 20M
[   ]fluffychat-1.19.1-r0.apk2024-04-15 09:15 20M
[   ]maxima-5.47.0-r7.apk2024-04-19 08:06 21M
[   ]kumactl-2.7.2-r1.apk2024-05-19 01:28 21M
[   ]linux-asahi-dev-6.6_p15-r2.apk2024-01-28 17:22 21M
[   ]kubeone-1.7.4-r1.apk2024-05-19 01:28 21M
[   ]avara-0.7.1-r0.apk2023-03-16 02:55 21M
[   ]popeye-0.21.3-r1.apk2024-05-19 01:28 21M
[   ]nsq-1.3.0-r3.apk2024-05-19 01:28 22M
[   ]android-apktool-2.9.3-r0.apk2024-01-25 23:49 22M
[   ]gst-plugins-rs-0.12.4-r0.apk2024-04-10 17:32 22M
[   ]aero2solver-1.1.0-r0.apk2024-05-16 20:35 22M
[   ]jellyfin-ffmpeg-6.0.1_p7-r0.apk2024-05-25 19:23 22M
[   ]libvmime-dev-0.9.2.175-r0.apk2024-04-26 08:25 22M
[   ]code-oss-1.89.1-r0.apk2024-05-31 00:34 23M
[   ]angband-4.2.5-r0.apk2024-01-28 17:21 23M
[   ]mint-x-icons-1.6.5-r1.apk2023-10-31 12:12 23M
[   ]merlin-dev-4.14-r0.apk2024-03-23 21:49 23M
[   ]openra-20231010-r0.apk2023-10-15 01:23 23M
[   ]radarr-5.6.0.8846-r0.apk2024-05-17 14:47 23M
[   ]sonarr-4.0.5.1710-r0.apk2024-05-30 18:20 23M
[   ]ardour-7.5.0-r3.apk2024-05-20 12:14 23M
[   ]lem-2.2.0-r0.apk2024-04-29 17:01 23M
[   ]element-desktop-1.11.67-r0.apk2024-05-23 04:26 24M
[   ]kuma-cp-2.7.2-r1.apk2024-05-19 01:28 24M
[   ]flux-2.2.3-r3.apk2024-05-19 01:28 24M
[   ]logisim-evolution-3.8.0-r0.apk2023-11-18 14:23 24M
[   ]reason-rtop-3.8.2-r1.apk2024-03-23 21:50 24M
[   ]nextpnr-ecp5-0.6-r2.apk2024-04-22 19:58 25M
[   ]lem-gui-2.2.0-r0.apk2024-04-29 17:01 26M
[   ]reason-3.8.2-r1.apk2024-03-23 21:50 26M
[   ]ovn-dbg-24.03.1-r0.apk2024-04-12 08:15 26M
[   ]filebeat-8.13.4-r0.apk2024-05-19 23:05 26M
[   ]stone-soup-0.31.0-r0.apk2024-04-08 00:35 26M
[   ]linux-gru-6.9.2-r0.apk2024-05-28 14:15 26M
[   ]vlang-0.4.3-r0.apk2023-12-01 01:34 28M
[   ]usql-0.15.6-r5.apk2024-05-19 01:29 28M
[   ]cfssl-1.6.4-r7.apk2024-05-19 01:28 29M
[   ]otrs-6.0.48-r1.apk2024-01-15 10:37 29M
[   ]ocaml-reason-dev-3.8.2-r1.apk2024-03-23 21:50 29M
[   ]spark-2.8.3-r1.apk2022-10-28 17:21 29M
[   ]font-hanazono-20170904-r1.apk2024-01-06 23:25 29M
[   ]helmfile-0.162.0-r3.apk2024-05-19 01:28 29M
[   ]qgis-lang-3.28.12-r6.apk2024-05-19 01:28 30M
[   ]grommunio-gromox-dbg-2.28-r0.apk2024-05-24 14:40 31M
[   ]liquibase-4.9.1-r0.apk2022-04-11 13:47 32M
[   ]zfs-src-2.2.4-r0.apk2024-05-20 11:29 33M
[   ]zed-0.138.4-r4.apk2024-06-06 01:07 33M
[   ]stockfish-16-r0.apk2023-07-04 00:52 33M
[   ]cdogs-sdl-2.1.0-r0.apk2024-04-17 13:05 33M
[   ]dolt-1.39.3-r0.apk2024-06-05 09:42 33M
[   ]metricbeat-8.13.4-r0.apk2024-05-19 23:05 34M
[   ]efl-1.27.0-r1.apk2024-04-12 01:37 34M
[   ]crowdsec-1.6.2-r1.apk2024-06-01 18:37 35M
[   ]yaru-icon-theme-23.10.0-r0.apk2024-04-18 04:06 35M
[   ]lemmy-ui-0.19.3-r0.apk2024-02-10 05:41 35M
[   ]libime-1.1.7-r0.apk2024-05-10 05:34 35M
[   ]flutter-common-3.19.4-r0.apk2024-03-22 00:13 37M
[   ]woodpecker-2.5.0-r0.apk2024-06-02 08:55 37M
[   ]ma1sd-2.5.0-r3.apk2024-05-07 22:17 38M
[   ]extremetuxracer-0.8.3-r0.apk2023-07-25 15:03 40M
[   ]qt-creator-13.0.1-r0.apk2024-05-13 09:58 40M
[   ]libmedc-doc-4.1.1-r3.apk2024-05-04 15:42 41M
[   ]dart-3.3.2-r0.apk2024-03-22 00:12 41M
[   ]forgejo-7.0.3-r0.apk2024-05-22 23:47 41M
[   ]godot-4.1.3-r1.apk2024-04-15 09:15 41M
[   ]calibre-7.12.0-r0.apk2024-06-01 04:33 41M
[   ]jitsi-videobridge-2.3.105-r0.apk2024-05-24 15:05 42M
[   ]cura-5.2.2-r1.apk2024-04-15 23:03 42M
[   ]fcitx5-table-extra-5.1.5-r0.apk2024-05-10 05:34 42M
[   ]godot-templates-4.1.3-r1.apk2024-04-15 09:15 42M
[   ]rue-0.3.0-r1.apk2022-08-20 16:27 42M
[   ]sdrangel-7.20.0-r0.apk2024-04-26 17:07 43M
[   ]flutter-desktop-3.19.4-r0.apk2024-03-22 00:13 44M
[   ]qgis-3.28.12-r6.apk2024-05-19 01:28 45M
[   ]hyprland-wallpapers-0.40.0-r0.apk2024-05-21 23:52 45M
[   ]starfighter-2.4-r0.apk2023-08-08 22:37 48M
[   ]openjdk22-src-22.0.1_p8-r2.apk2024-04-26 02:13 48M
[   ]cilium-cli-0.16.6-r1.apk2024-05-19 01:28 48M
[   ]mame-mess-0.251-r0.apk2023-02-22 12:49 49M
[   ]gutenprint-cups-5.3.4-r3.apk2023-05-21 19:06 49M
[   ]zarf-0.32.1-r3.apk2024-05-19 01:29 50M
[   ]signal-desktop-7.11.1-r0.apk2024-06-06 09:20 54M
[   ]kanister-tools-0.107.0-r1.apk2024-05-19 01:28 54M
[   ]zot-2.0.4-r2.apk2024-05-19 01:29 58M
[   ]mimir-2.11.0-r3.apk2024-05-19 01:28 59M
[   ]hashcat-6.2.6-r0.apk2022-09-05 01:49 59M
[   ]openjdk22-jre-headless-22.0.1_p8-r2.apk2024-04-26 02:12 61M
[   ]lxd-feature-5.20-r3.apk2024-05-19 01:28 63M
[   ]mame-arcade-0.251-r0.apk2023-02-22 12:49 64M
[   ]trivy-0.50.4-r1.apk2024-05-19 01:29 65M
[   ]nextpnr-ice40-0.6-r2.apk2024-04-22 19:58 69M
[   ]fpc-3.2.2-r2.apk2022-08-07 17:35 69M
[   ]mint-y-icons-1.7.2-r0.apk2023-12-31 20:13 71M
[   ]librewolf-126.0.1_p1-r0.apk2024-06-02 01:17 74M
[   ]firefox-developer-edition-127.0_beta9-r0.apk2024-06-05 02:11 75M
[   ]openjdk22-jmods-22.0.1_p8-r2.apk2024-04-26 02:12 76M
[   ]mono-6.12.0.205-r1.apk2023-07-30 00:01 82M
[   ]electron-30.0.9-r0.apk2024-05-31 00:34 83M
[   ]texstudio-4.8.0-r0.apk2024-05-13 09:58 89M
[   ]mame-0.251-r0.apk2023-02-22 12:49 91M
[   ]scummvm-2.8.1-r0.apk2024-04-15 23:03 103M
[   ]hangover-wine-9.5-r0.apk2024-04-01 21:00 107M
[   ]moka-icon-theme-5.4.0-r2.apk2021-01-13 17:44 114M
[   ]srb2-data-2.2.13-r0.apk2023-11-22 17:24 138M
[   ]art_standalone-dbg-0_git20240525-r0.apk2024-05-28 12:54 138M
[   ]gmsh-dbg-4.12.2-r1.apk2024-04-22 06:42 141M
[   ]dart-sdk-3.3.2-r0.apk2024-03-22 00:13 167M
[   ]endless-sky-0.9.16.1-r0.apk2022-10-21 18:06 175M
[   ]dart-stage0-3.2.0_alpha150_p0-r0.apk2024-03-02 05:32 212M
[   ]trigger-rally-data-0.6.7-r2.apk2024-01-02 09:42 352M
[   ]sauerbraten-2020.12.29-r3.apk2024-02-12 17:02 934M

Apache/2.4.58 (Unix) Server at mirror.accum.se Port 80